CPLD Programmierung

Hallo Leute,

kann mir irgendjemand einen Tip geben mit welcher Software man heutzutage CPLD's oder FPGA's programmiert. Es muss nicht kostenlos sein. Ich will nur nicht 2 Mal einen Haufen Geld ausgeben.

Taugt dieses ispLEVER von Lattice was, oder gibt's da was besseres.

Gruß Andreas

Reply to
Andreas Fecht
Loading thread data ...

"Andreas Fecht" schrieb im Newsbeitrag news:civatt$qq0$ snipped-for-privacy@online.de...

Man beginnt eigentlich immer mit der Softwre, die der Hersteller fuer die Chips liefert.

Denn die ist zunaechst einmal die glaubwuerdigste.

Aber man muss sich halt vorher zum Problem den passenden Chip aussuchen, und der fuer das naechste Problem ideale Chip ist nicht unbedingt der, dessen Softwarre du hast. Also lohnt es sich, auf kostenloser Software zu bestehen.

Ich find's ok.

Vermutlich. WinWord ist z.B. die bessere Textverarbeitung.

--
Manfred Winterhoff, reply-to invalid, use mawin at despammed.com
homepage: http://www.geocities.com/mwinterhoff/
 Click to see the full signature
Reply to
MaWin

Andreas Fecht schrieb:

Zusätzlich zum bereits gesagten:

AFAIK ist Xilinx der einzige Anbieter, bei dessen kostenloser Software (WebPack) auch die Programmierung in VHDL möglich ist. Alle anderen erlauben nur ABEL o. dgl., für VHDL muß man dort Zusatzpakete kaufen.

--
Dipl.-Ing. Tilmann Reh
Autometer GmbH Siegen - Elektronik nach Maß.
 Click to see the full signature
Reply to
Tilmann Reh

"Fuer" oder "als" WAS?

formatting link

Ein Artikel der selben Autorin war 1998 in der C'T:

formatting link

Insbesondere:

formatting link

Auch ein späterer Test in der C'T brachte keine besseren Ergebnisse, insbesondere zum Fussnoten-Problem.

Gruss, Holger

Würde WORD zum Schreiben von Büchern gedacht sein, müsste es "PAGE (-Maker) heissen...

SCNR, Holger

Reply to
Holger Petersen

MaWin schrieb:

Vielen Dank für Deine Meinung, Ich denke ich werd' das ispLEVER mal Ausprobieren.

Gruß Andreas

Reply to
Andreas Fecht

| (WebPack) auch die Programmierung in VHDL möglich ist. Alle anderen | erlauben nur ABEL o. dgl., für VHDL muß man dort Zusatzpakete kaufen.

Man kann zu Abel stehen wie man will, ich fands einfacher als VHDL und auf CPLDs begrenzt auf jeden Fall Ergebnissicherer. Mit VHDL hatte ich immer wieder das Problem, dass meine Beschreibung zwar syntaktisch korrekt war, aber nicht synthetisierbar war. Das Problem gibts bei ABEL nicht, was dort beschreibbar ist ist auch synthetisierbar. Da muss man zum Syntax der Sprache nicht auch noch die Einschränkungen der Syntheseroutine lernen :-(

MArtin

Reply to
Martin Schönegg

Quartus2 von Altera gibt es in einer Web-Version, die VHDL und Verilog synthetisiert. Soweit ich weis, braucht man sich nur kostenlos registrieren lassen, um da dran zu kommen.

Ich würde FPGAs gerne privat einsetzen, aber irgendwie habe ich noch nicht wirklich einen Lieferanten gefunden, der einem auch Kleinstmengen genau von dem Chip liefert, den ich haben will ^^ (BGA und FBGA selber löten ist schließlich fast unmöglich, außer man klaut Muttern ihr Bügeleisen)

MfG, Maik Schmidt

Reply to
Maik Schmidt

Maik Schmidt wrote: : Tilmann Reh wrote: : > : > AFAIK ist Xilinx der einzige Anbieter, bei dessen kostenloser Software : > (WebPack) auch die Programmierung in VHDL möglich ist. Alle anderen : > erlauben nur ABEL o. dgl., für VHDL muß man dort Zusatzpakete kaufen.

: Quartus2 von Altera gibt es in einer Web-Version, die VHDL und Verilog : synthetisiert. Soweit ich weis, braucht man sich nur kostenlos : registrieren lassen, um da dran zu kommen.

: Ich würde FPGAs gerne privat einsetzen, aber irgendwie habe ich noch : nicht wirklich einen Lieferanten gefunden, der einem auch Kleinstmengen : genau von dem Chip liefert, den ich haben will ^^ (BGA und FBGA selber : löten ist schließlich fast unmöglich, außer man klaut Muttern ihr : Bügeleisen)

Spartan 2 gibt es bei Schuricht/Schukat, genauso bei Digikey. Mit den Konfigurationsspeichern (XCF02 oder XC18V02) sieht es dagegen mau aus.

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
 Click to see the full signature
Reply to
Uwe Bonnes

Uwe Bonnes wrote: ...

: : Ich würde FPGAs gerne privat einsetzen, aber irgendwie habe ich noch : : nicht wirklich einen Lieferanten gefunden, der einem auch Kleinstmengen : : genau von dem Chip liefert, den ich haben will ^^ (BGA und FBGA selber : : löten ist schließlich fast unmöglich, außer man klaut Muttern ihr : : Bügeleisen)

: Spartan 2 gibt es bei Schuricht/Schukat, genauso bei Digikey. Mit den : Konfigurationsspeichern (XCF02 oder XC18V02) sieht es dagegen mau aus.

Fuer den Start empfiehlt sich aber ein Entwicklungsboard

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
 Click to see the full signature
Reply to
Uwe Bonnes

Ich habe mich jetzt, durch Praxissemester, Diplomarbeit und Arbeit, nur mit Altera beschäftigt. Dem entsprechend würde ich 'n Cyclone(II) einem Xilinx-Baustein vorziehen. Wenn ich so einen kriegen würde und auch privat an ein Nios(II)-Entwicklungssystem herankommen würde, ich wäre im dritten Himmel ^_^;

MfG, Maik Schmidt

Reply to
Maik Schmidt

Das klingt ja interessant! Was waren dass für Beschreibungen, die in VHDL nicht synthetisierbar sind? Könntest du ein Beispiel nennen?

Auf solche Grenzen bin ich mit meinem Kindergarten-VHDL noch nicht gestoßen, ich fands aber trotzdem sehr zeitaufwändig. Vor allem habe ich die Zeit von einer veränderten Beschreibung bis zu einem Laufenden FPGA als sehr lang empfungen (verglichen mit uCs)... wir haben damals Synopsis Scirocco zur Simulation und Synopsis FC2 zur Synthese benutzt. Dann noch Xilinx ISE, um die Netzliste in ein FPGA-verständliches Format zu bringen. Das hat alles so genervt. Zig Programme, hin- und herwechseln. Wenn man mal aus versehen nur die Synthese gemacht hat, aber die Netzliste nicht exportiert hat, wundert man sich, warum der FPGA denselben "Bug" hat wie im letzten Zyklus... Naja.

Viele Grüße Johannes

--
One can look at the designs of a bridge, realize it's built of tongue
depressers and bubble gum, and from this conclude that it is, indeed,
 Click to see the full signature
Reply to
Johannes Bauer

Mach mal While-Schleifen :-) Oder rekursive Funktionsaufrufe, wo die Rekursionstiefe zur Synthesezeit nicht evaluierbar ist...

Oh Gott... FC2, da kann sich man gleich eine Handvoll Gatter mit Perlscript auswürfeln lassen. Ich frage mich ernsthaft, wie jemand damit "produktiv" grosse Chips entwickeln will, die Designs werden zu einem Kartenhaus, das bei unscheinbaren Änderungen tw. völlig zusammenbricht. Von der Ineffizient der Logik mal ganz zu schweigen...

Und Scirocco ist erst in der 2003er-Version wieder brauchbar. Im Compiled-Mode lief es selten, im Interpreter-Mode nur "etwas" häufiger. Und dass "unsigned" auch gleichzeitig unresolved war, haben sie auch erst nach zwei Jahren gefixt...

Naja, leigt wohl daran, dass Synopsys eigentlich VHDL nur noch so "am Rande" mitschleppt.

Als Synthese würde ich jetzt aber das Xilinx-eigene xst empfehlen. Inzwischen richtig brauchbar, kann so ziemlich alle VHDL-Konstrukte und scheint (bis auf einen Fall, wo ich nicht weiss, ob es evtl. doch Metastabilität ist) auch richtig zu synthetisieren. Und schnell ist es auch: Unter Linux auf einem 1.8GHz Opteron ist ein 2S200 mit 70% Füllgrad und Register-Balancing in knapp 90s durch, das Routing dauert dann nochmal ca. 90s. Das verführt schon fast zu Trial'n'Error ohne Simulation :-)

Unter Unix geht alles mit Makefiles bzw. einfachen Skripten. Die Windows-GUI habe ich schon Jahre nicht mehr angerührt. Wenn man einmal die richtigen Parameter für die Shell-Utilities weiss ist die einzige GUI, die man braucht, der VHDL-Editor (emacs :-) ).

--
         Georg Acher, acher@in.tum.de
         http://wwwbode.in.tum.de/~acher
 Click to see the full signature
Reply to
Georg Acher

Hallo Johannes,

| Das klingt ja interessant! Was waren dass für Beschreibungen, die in | VHDL nicht synthetisierbar sind? Könntest du ein Beispiel nennen?

ist schon 2 Jahre her, habe damals mit Xilinx Webpack eine Art Watchdog für ein µC implementiert. Ich hab damals lange rumgemacht, weil mir die Synthese das Teil immer wieder verweigerte. Synthaxcheck lief dann problemlos und wenns ans synthetisieren ging war wieder nix, bis ichs quasi auf Flipflopebene heruntergebrochen hatte.

Ich möchte mir jetzt aber nicht mehr die Mühe machen, die Quelltexte von damals herauszukramen. Ein offensichtliches Beispiel aber, welches mein Diplomant exzessiv nutzen wollte und mit CPLDs natürlich so nicht geht ist die Angabe von Laufzeiten in ns. Sehs mir nach, ich hab nun 2 Jahre nichts mehr mit VHDL gemacht, aber ich fand das mit Abel irgendwie eingängiger, vielleicht auch nur, weil ich zu VHDL eine unpassende Einführungsliteratur gewählt hatte (zu akademisch) und ABEL damasl aus einem Praktikerbuch gelernt hatte.

MArtin

Reply to
Martin Schönegg

Das ist das Hauptproblem... Bis vor ca. 3-4 Jahren gab es VHDL-Bücher fast nur als Hochsprachenbeschreibung. Inzwischen gibt es aber eine Menge, die sich um die Synthese kümmern und recht genau erläutern, was da geht oder was man eher nur in der Testbench nutzen sollte bzw. kann.

--
         Georg Acher, acher@in.tum.de
         http://wwwbode.in.tum.de/~acher
 Click to see the full signature
Reply to
Georg Acher

Hallo Georg,

| Das ist das Hauptproblem... Bis vor ca. 3-4 Jahren gab es VHDL-Bücher fast nur | als Hochsprachenbeschreibung. Inzwischen gibt es aber eine Menge, die sich um die | Synthese kümmern und recht genau erläutern, was da geht oder was man eher nur in | der Testbench nutzen sollte bzw. kann.

Da wäre ich um einen konkreten Tip sehr dankbar.

MArtin

Reply to
Martin Schönegg

Was mir gerade so einfällt:

"Digital Systems Design with VDHL and Synthesis", K.C. Chang

Man sollte VHDL schon mal gesehen haben, aber ansonsten recht gut und praxisnah.

"VHDL Coding Styles and Methodologies", Ben Cohen

Wenn ich mich recht erinnere, fängt es fast bei 0 an. Nett insbesondere die "Bomben" neben dem Text, die immer typische Fallstricke und Gefahren erläutern.

--
        Georg Acher, acher@in.tum.de 
        http://wwwbode.in.tum.de/~acher 
 Click to see the full signature
Reply to
Georg Acher

Tilmann Reh wrote

Das kommt extrem auf deine Anwendung drauf an. Also Komplexität, Grösse, ... Bei FPGAs gilt VHDL und (System-)Verilog als wichtigste Beschreibungssprachen. Für CPLDs dürfte Schematic Entry noch weit verbreitet sein. Wenn du mit VHDL (oder den alternativen) Systeme entwickelst benötigst du einen Texteditor, einen Simulator und ein Syntheseprogramm. Standard wären da Emacs, Modelsim und Synplify. Du kannst aber bei Xilinx-Fpgas wohl auch ganz gut den XST verwenden, den Xilinx kostenlos liefert. Bei Modelsim gibt es auch eine kostenlose Version die für den Anfang taugt und teuere Versionen. Weiter Synthese Werkzeuge wäre Quartus, und von Synopsys FC(II) & DC. Wobei letzterer eher auf Asics Zielt und noch recht teuer ist, obwohl Sysnopsys wohl so langsam den Support einstellt, da DC nur noch für kleine Asics praktikabel ist.

Actel bietet kostenlos Libero (Silver) an, angeblich ist da neuerdings auch ein kostenloser Modelsim dabei. Damit kannst du dann kleine Designs (bis 300k Gatter) bearbeiten.

bye Thomas

Reply to
Thomas Stanka

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.