Hi folks, I seem to have convinced ISE to output incorrect multiple-driver error messages. I've reduced the example to the following:
-- test.vhd library ieee; use ieee.std_logic_1164.all;
entity Test is port( Clock : in std_ulogic; Foo : out std_ulogic; Bar : out std_ulogic); end entity Test;
architecture Arch of Test is begin Foo