XC95108 problem

Hi,

I'm using the XC95108 CPLD and Xilinx ISE 7.1.01i. The problem I am having is that outputs are inverted when they aren't supposed to be.

*****************

This is my vhdl file:

----------------------------------------------------------------------------

---- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating

---- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity test is Port ( In1 : in std_logic; Out1 : out std_logic); end test;

architecture Behavioral of test is

begin Out1

Reply to
Ross Marchant
Loading thread data ...

I do not know if it's the same error, but I filed a bug report for a case where 5.2 generated a working Jedec file and 7.1 did not. This error was confirmed as a SW-bug in the Jedec file generator.

Try out an older Webpack like 5.2 or 6.3.

Best regards Klaus

Reply to
Klaus Falser

Try again removing your lib declaration

--use IEEE.STD_LOGIC_ARITH.ALL;

--use IEEE.STD_LOGIC_UNSIGNED.ALL;

regards, Laurent

formatting link

------------ And now a word from our sponsor ------------------ Do your users want the best web-email gateway? Don't let your customers drift off to free webmail services install your own web gateway!

-- See

formatting link
----

Reply to
Laurent Gauch

having

--

and

correctly.

Unfortunately this does not work. I have started a web case with Xilinx and they are looking into it for me.

Thanks Ross

Reply to
Ross Marchant

Hi all,

I had problems with the XCR3064.. finally was directed to a patch by Xilinx Answer 21168.

Cheers,

Alex

Reply to
Alex

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.