Hi group, When I am developing code for a hobby project, I find that ModelSim XE II/Starter 5.7c (came with Xilinx Webpack 6.1.03i infered unnecessary latch in place of logic. It is a before-synthesis simulation, not a post-fit simulation. Here is the sample code (complete and compiles) and the simulated result is posted at . In the program I combined signals (EAL0 and EAL2) to make the code clean. It might be that I made some mistake that I did't know of. I examined the program over and over and still could not find where the problem is. Any idea? Thanks.
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity TEST is Port ( RESULT: out std_logic_vector(1 downto 0); TEMP: INOUT std_logic_vector(1 downto 0); EAL0: in std_logic; EAL2: in std_logic ); end TEST; architecture Behavioral of TEST is begin TEMP