Hello all
I want to multiply two signed 8-bit numbers and pick 8 bits from the result.
My code is like this:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity test is
Port ( k : in std_logic_vector(7 downto 0);
x : in std_logic_vector(7 downto 0);
y : out std_logic_vector(7 downto 0));
end test;
architecture beh of test is
signal kx : std_logic_vector(15 downto 0);
constant Offset : integer := 4;
begin
kx