User Library in ISE

Hi all, I'd like ask you for any hint in user library creation in Xilinx ISE 7.0 (XST). I want to build my own library but I am not able to compile it and include it in my design.

The error message appears: Compiling vhdl file "D:/VHDL/Test/test.vhd" in Library my_lib. ERROR:HDLParsers:3016 - "D:/VHDL/Test/test.vhd" Library unit counter required for unit counter does not exist in library my_lib. ERROR:HDLParsers:3014 - "D:/VHDL/Test/test.vhd" Line 31. Library unit counter is not available in library my_lib.

1, I created modul (entity and architecture) of simple counter in d:\my_lib\counter.vhd

2, I created package (contents only component counter declaration) in d:\my_lib\my_package.vhd

3, I Mapped user library file in Synthesize - XST/Synthesis Options/HDL ini file. (Content of associated file points into library directory - my_lib=D:\my_lib). But I guess this link should be to compiled library, where is *.vho but I dont know how to compile library in ISE (XST). Any advice?

3, I created a test.vhd because I don't know other way how to compile before mentioned library files in ISE.

in test.vhd is :

library my_lib; use my_lib.my_package.all

Regards XIPN

Reply to
xipn
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.