Xilinx ISE 8.2 : Cannot find library

Hi, During synthesis, with Xilinx ISE 8.2 it seems it cannot find the library file I used: ERROR:HDLParsers:3317 - "..." Line 43. Library SPARTAN3E cannot be found.

ERROR:HDLParsers:3013 - "..." Line 44. Library SPARTAN3E is not declared.

I used the following line in my code: library SPARTAN3E; use SPARTAN3E.vcomponents.all;

The library is found on my computer since I can simulate with it (Using Active HDL). The question is how do I point ISE to it. Thanks Amish

Reply to
Amish Rughoonundon
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.