question about Webpack - PACE

I am trying to follow the Xilinx "Introduction to Programmable Logic". I try to implement in FPGA. But when I try to assign I/O pin in PACE's DOL. I found I can not assign Loc=P36. The drop-down list does not has the entry. I am using Webpack6.2i for Spartan 3.

Why?

Reply to
kathy
Loading thread data ...

Might be a power pin. Or a GCLK. Usually PACE is better spotting hardware issues than we are. What is your part number?

Reply to
Brad Smallridge

for Loc drop-down box, there sre T,L,R,TL,TR,BL,BR,RT,RB,LT,LB. What they mean?

Reply to
kathy

T = top L = left R = right TL = top left TR = top right BL = bottom left ...

you get the idea.

--

Rick "rickman" Collins

snipped-for-privacy@XYarius.com Ignore the reply address. To email me use the above address with the XY removed.

Arius - A Signal Processing Solutions Company Specializing in DSP and FPGA design URL

formatting link

4 King Ave 301-682-7772 Voice Frederick, MD 21701-3110 301-682-7666 FAX
Reply to
rickman

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.