Modelsim wave viewing in batch mode

I'm rather new at Modelsim, so please bear with me. Couldn't find answer to these questions, in manual or in search.

I'm running simulation in batch mode. (Currently just using command window, will migrate to Cygwin later.) My batch file is something like this:

vlog -lint tb_test.v vsim -c tb_test -wlf test.wlf < test.do

So, the simulation runs fine, spits messages, etc.

When viewing the waveform, what's the best way to bring up the waves, with the pre-selected signals (or not) without having to open the main Modelsim windows?

I find it tedious to open the main window, open the waves, select dataset, then format, especially because I'm in debugging stage where I change the testbench a lot. There has to be a better way than having to go through a series of mouse clicks. I can tolerate the main window opening, as long as I can have the waves show up with signals loaded... am I making sense?

Any help would be appreciated.

Reply to
FGreen
Loading thread data ...

Here's one way to do it in "interactive" batch mode. On the first run out of the chute, I'll add start the waveform viewer, and add all the signals I want to see, format them, etc.

Then, do a File->Save->Format Save the "wave.do" file.

Next, make a *.do file similar to below

---------Start of do file ---------- vlog -f file_collection.f vsim work.Test

view wave #opens the wave viewer do C:/Projects/wave.do #loads the signals you want to see

run -all #execute full sim

--------- End of do file ----------

Because you're using the sim in interactive mode, you'll need to do is issue a "$stop" in your testbench instead of the "$finish"

Then, in the modelsim window, just execute >> do myfile.do

SM

Reply to
Squirrel

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.