need help on 16 bit risc processor code

hello guys can i get complte code for a 16 bit risc processor written in VHDL, i have developed code for it but im not sure whether it is correct can any one correct my code

-HEADER FILE INTILISATION library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

--ALU INPUT DECLARATION

entity pro is port(a,b:in std_logic_vector(7 downto 0); s: in std_logic_vector(3 downto 0); y: out std_logic_vector(9 downto 0) ); end pro;

--ALU ARCHITECHURE MODULE

architecture project of pro is signal temp:std_logic_vector(9 downto 0); begin process(a,b,s) begin --ACCORDING TO CONTROL SIGNAL --ARTHMATIC OR LOGICAL FUNCTION SELECTION case s is when "0000" => temp temp temp temp temptemp regfile_re, BANK => fileaddr(6 downto 5), LOCATION => fileaddr(4 downto 0), DIN => regfile_in, DOUT => regfile_out, RESET => RESET, CLK => CLK );

DEBUG_PC

Reply to
sel
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.