Hello. Newbie here. I am trying to write a very simple program to simulate a multiplexer. I am using the xilinx version of the modelsim compiler viz. Modelsim XE version. heres my code:
library ieee; use ieee.std_logic_1164.all;
entity mux is port(a,b:IN std_logic_vector(7 downto 0); sel:IN std_logic_vector(1 downto 0); c : OUT std_logic_vector(7 downto 0)); end mux;
architecture example of mux is begin process(a,b,sel) begin if(sel = "00") then c