Well that's the digram that i get, as you can see the expected ouput is shifted by one, the first one (in my previous message) includes a blank space,
clk : 01010101010101010101 input : 00001111100000111111 ouput : u00000111110000011111 (expected) output: 00000111110000011111 (obtaine)
what i get is during the presynthese simulation and post synthese simulation.
Sure that the flip flop should behave the same either it s connected to the internal or external signal and that s what i was expecting unfortunatly it wasn't the case.
here the VHDL code, probably you will see some unusefull signals, it is just for testing, can you see any problem in this code??????
(concerning my french message: when i posted it i realized to have more coverage and answers it is better to send an english version :)))))))) )
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.NUMERIC_BIT.all; use ieee.STD_LOGIC_ARITH.all;
------------------------------------
-- entity declaration --
------------------------------------ entity FSM_load is generic(n:integer:=6; s:integer:=6); PORT ( -- input Reset_n : in std_logic; Clk : in std_logic; Start : in std_logic; Data_in : in std_logic; Proc_Ready : in std_logic; Length_q : in std_logic_vector(N-1 downto 0);
Output1 : out std_logic;
-- Output1 : out std_logic_vector (39 downto
0);
-- Output2 : out std_logic_vector (39 downto
0); Output2 : out std_logic;
-- output Reset_n_FSM_Stat : out std_logic; Start_FSM_Stat : out std_logic; Write_en : out std_logic; Seq_element : out std_logic_vector(S-1 downto 0); SRAM_addr : out std_logic_vector(N-1 downto 0) ); end FSM_load;
------------------------------------
-- end if entity declaration --
------------------------------------
------------------------------------
-- archtecture declaration --
------------------------------------
architecture RTL of FSM_load is
-- type declaration type state_fp_ce_type is (S_idle, S0, S1, S2, S3,S4, S5, S6, S7, S8);
-- signal declaration signal state_fp_ce : state_fp_ce_type; signal state_fp_ce_2 : state_fp_ce_type; signal Const : std_logic_vector (S-2 downto 0); signal Shift_en : std_logic; signal Shift_out_en : std_logic; signal Load_en : std_logic; signal Reset_n_local : std_logic; signal Pre_load_reg : std_logic_vector(39 downto 0); signal Load_reg : std_logic_vector(39 downto 0); signal Data_out : std_logic_vector(39 downto 0); signal Count : std_logic_vector(5 downto 0); signal Count_2 : std_logic_vector(5 downto 0); signal SRAM_addr_tmp : std_logic_vector(N-1 downto 0);
signal output_tmp : std_logic;
begin
process ( Reset_n , Clk, Start) begin if reset_n='0' then count '0'); Shift_en if (Proc_Ready = '1') then Reset_n_local