Hi
I have a simple VHDL counter modul that I wanna debug with Chipscope 7.1 on a Virtex II board:
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all;
entity top is port ( clk : in std_logic := ?0?; cnt : out std_logic_vector(3 downto 0) ); end top;
architecture behave of top is signal counter : std_logic_vector(31 downto 0) := (others => ?0?); begin process(clk) begin if ( clk?event and clk = ?1? ) then counter