Starting from the ISE quick up/down 4bit counter tutorial, I inserted a case statement to handle a single digit 7-segment display, but keep on getting a parse error on the last line of the statement and don't know why.
entity counter is Port ( clock : in std_logic; direction : in std_logic; count_out : out std_logic_vector(3 downto 0); display7_out: out std_logic_vector(6 downto 0)); end counter;
architecture Behavioral of counter is
signal count_int : std_logic_vector(3 downto 0) := "0000"; signal display7 : std_logic_vector(6 downto 0);
begin
process (clock) begin
if clock ='1' and clock'event then
if direction = '1' then count_int fault display7 => "1111001"; -- HERE SHOULD BE THE PARSE ERROR ACCORDING TO XST end case;
end if;
end process;
display7_out