case statement fault

Starting from the ISE quick up/down 4bit counter tutorial, I inserted a case statement to handle a single digit 7-segment display, but keep on getting a parse error on the last line of the statement and don't know why.

entity counter is Port ( clock : in std_logic; direction : in std_logic; count_out : out std_logic_vector(3 downto 0); display7_out: out std_logic_vector(6 downto 0)); end counter;

architecture Behavioral of counter is

signal count_int : std_logic_vector(3 downto 0) := "0000"; signal display7 : std_logic_vector(6 downto 0);

begin

process (clock) begin

if clock ='1' and clock'event then

if direction = '1' then count_int fault display7 => "1111001"; -- HERE SHOULD BE THE PARSE ERROR ACCORDING TO XST end case;

end if;

end process;

display7_out

Reply to
Marco
Loading thread data ...

Hi Marco,

Try when others => display7 Starting from the ISE quick up/down 4bit counter tutorial, I inserted a

Reply to
Tim Good

Thanks Tim, it works now, I was not drunk, but I did not see it! Marco

Reply to
Marco

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.