In the quick start tutorial, it says connect DIRECTION with PIN K13 (SW7 signal on board), but after browsing through ug300.pdf and s3a schematics, I don't see anything that remotely makes sense. I understand I need a input signal, but I have no idea which PIN would give me that on S3A board.
Here is my vhdl source: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity counter is Port ( CLOCK : in STD_LOGIC; DIRECTION : in STD_LOGIC; COUNT_OUT : out STD_LOGIC_VECTOR (3 downto 0)); end counter;
architecture Behavioral of counter is signal count_int : std_logic_vector(3 downto 0) := "0000"; begin
process (CLOCK) begin if CLOCK='1' and CLOCK'event then if DIRECTION='1' then count_int