CPLD, mi basta questa?

avrei la possibilità di reperire delle XC9536XL chi ha un po' di dimestichezza con questi dispositivi, sa dirmi se con una di queste riesco ad implementare un contatore sincrono a 16-bit con preset?

per gli I/O penso non ci siano problemi perchè c'è ne sono 36 a disposizione da cui:

-16 per le uscite

-16 per gli ingressi

-1 per il clock

-1 per il load

si può fare? grazie!

-ice-

Reply to
ice
Loading thread data ...

Un bel giorno ice digitò:

Direi senz'altro di sì. Comunque fai prima a scaricare il webpack e provare:

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity conta16 is Port ( in: in STD_LOGIC_VECTOR(15 downto 0); reset: in STD_LOGIC; load: in STD_LOGIC; clk: in STD_LOGIC; out: inout STD_LOGIC_VECTOR(15 downto 0)); end conta16;

architecture Behavioral of conta16 is begin

main: process( clk, reset) begin if reset='1' then out

Reply to
dalai lamah

ice ha scritto:

Ti consiglio la 9572 che praticamente costa (in produzione) uguale. Io ci ho fatto una cosa simile, per gestire un encoder.

--
================================================================
Alberto Rubinelli - A2 SISTEMI news.aioe.org ThunderBird 2.0.0.4
 Click to see the full signature
Reply to
Alberto Rubinelli

cavolo, grazie 1000!!!

dai ricordi che ho è vhdl, giusto? altra cosa: il webpack pare che giri solo su architettutra a 32-bit... io ho xp pro per i 64-bit... non va oppure gira a 32-bit? giusto perchè il download è un po' impegnativo :)

grazie ancora!

-ice-

Reply to
ice

che vantaggi mi da?

a me serve reperibile in tempi brevi, 36 i/o e che possa lavorare a 3,3V devo farci il contatore presettabile 16-bit di cui dicevo

grazie anche a te!

-ice-

Reply to
ice

ice ha scritto:

che ha il doppio di macrocelle

dipende anche da cosa ci aggiungi ... io ho dovuto usare la 72, nella 36 non ci stavo. Sono reperibili entrambe, anzi, a livello quantita' io trovo meglio la 72. Noi le prendiamo da Avnet mi pare

--
================================================================
Alberto Rubinelli - A2 SISTEMI news.aioe.org ThunderBird 2.0.0.4
 Click to see the full signature
Reply to
Alberto Rubinelli

ok

a me serve solo fare il contatore 8-bit presettabile purtroppo non riesco a trovarne gi=E0 fatti che lavorino a 3V (ho fatto il post qualche giorno fa su questo) per cui devo farlo io :)

avrei un'ulteriore curiosit=E0: se uno volesse usare 36(72) macrocelle per realizzare un modulo di sram, quanti byte si riuscirebbero ad avere?

grazie!!!

-ice-

Reply to
ice

Il 03 Mag 2008, 00:28, ice ha scritto:

Fare una RAM con un PLD? Al massimo un bit per ogni macrocella, 36 macrocelle = 36 bit. Sarebbe un uso molto poco efficiente. Pero' esistono anche PLD che integrano blocchi di memoria flash e forse anche RAM da qualche KB, mi pare Lattice e Altera.

-------------------------------- Inviato via

formatting link

Reply to
brown fox

ice ha scritto:

non era a 16 bit ? 8 ti sta ovunque.

come ti ha risposto brown fox, e' il componente sbagliato. dovresti andare verso componenti piu' verso le standard cell

--
================================================================
Alberto Rubinelli - A2 SISTEMI news.aioe.org ThunderBird 2.0.0.4
 Click to see the full signature
Reply to
Alberto Rubinelli

Un bel giorno ice digitò:

Figurati, son due minuti di copia&incolla. E oggi che posso anche avviare il webpack ti dico pure che ci sta ampiamente in una 9536, occupa appena il

45% delle macrocelle (devi sostituire i nomi dei segnali che ho chiamato 'in' e 'out', perché sono parole riservate del vhdl).

Non ne ho idea. Sono piuttosto ignorante su questa cosa, ma se capisco bene il software a 32 bit dovrebbe funzionare anche su XP a 64 bit:

formatting link

--
emboliaschizoide.splinder.com
Reply to
dalai lamah

si, ho scritto male... è a 16 bit che mi serve

ha capito... per il momento mi accontenterò di mettere una sram esterna

grazie!

-ice-

Reply to
ice

ho provato ma quando lancio il setup non succede alcun che... è una seccatura questa :(

cmq grazie! quando mi arriveranno le cpld farò i primi esperimenti... nel frattempo monto una macchina a 32-bit così posso usare il webpack

-ice-

Reply to
ice

controllerò! cmq per ora tengo il banco di sram all'esterno

grazie!

-ice-

Reply to
ice

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.