How to setup Analyzer in ChipScope Pro

Dear

Due to lack of experience, I am having a hard time :) to debug the following with ChipScope Pro.

My goal is to see "0 -> 1 -> 2 -> 3 -> 4 -> 0 -> 0 -> ..." in waveform of Analyzer. I wonder if this is possible.

Problem is that I only see "4 -> 4 -> 4 -> 4 -> ...".

It seems that the starting time of data capture and the starting time in my mind are different each other.

In addition, the problem is maybe because of the "Read period" in VIO, which is 250 ms. What I want to see only "0 ns -> 100 ns" !

Many trials have been done with no luck. Thankyou for the help. Regards.

Configuration is

---------------------------------

  • ICON :

- 2 Control port,

  • ILA :

- One 2-bit trigger port (reset, en),

- 4-bit data (counter(0),...,counter(3))

  • VIO :

- 4-bit sync. input (counter(0),...,counter(3)),

- 2-bit async. output (reset, en)

  • Analyzer :

- setting reset='0', en='1' in console.

- Trigger condition (reset=0,en=1)

---------------------------------

----------------------------------------------

-- 4 bit Counter

-- Expected behavior : 0 -> 1 -> 2 -> 3 -> 4 -> 0 -> 0 -> ...

---------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity top is port ( clk : in std_logic; cnt : out std_logic_vector(3 downto 0)); end top; architecture behave of top is signal counter : std_logic_vector(3 downto 0); signal rst_tmp : std_logic; signal clk_tmp : std_logic; signal en : std_logic; begin clk_tmp

Reply to
Pasacco
Loading thread data ...

Did you simulated your code before place and route ?

Try this :

process (clk,rst,en) begin if rst='1' then counter '0'); -- Asynch reset elsif (clk'event and clk='1') then if en='0' then counter '0'); else counter

Reply to
patrick.melet

Hi

Yes the code is simulated and counter value is working : "0->1->2->3->4->0->0->0->0->...", when initially rst=0, en=1.

(Your code is working : "0->1->2->3->4->5->.....->14->15->0->1->2->...->14->15->0->......." as far as rst=0, en=1)

I did this way because I need to see only "1->2->3->4->0" in the waveform in the analyzer.

Anyway, my wish is to see the same waveform of simulation as the waveform of Analyzer, when we initially set rst=0, en=1.

Thankyou for comments.

Reply to
Pasacco

-------------------^^

Why is this here?

Reply to
Andy Peters

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.