Jitter erzeugen?

Mich würde mal interessieren, wie man einem digitalem Signal Jitter aufprägen kann. Bis ein paar MHz würden genügen, aber runter soll es auch unter 1 Hz noch laufen. Die Jitterform sollte frei wählbar sein, also z.B. Rauschen, oder Sinus einer einstellbaren Frequenz. Wenn ich mal wieder zu meinem Signalgenerator komme, wäre das eine gute Sache dafür denke ich, da man damit gut die Toleranz z.B. von RS232 Empfängern testen kann.

Habe da noch keine guten Suchwörter für Google gefunden, bisher nur Werbung für professionelle Generatoren, oder Sachen, wie man Jitter vermeidet.

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss
Loading thread data ...

Frank Buss :

Meine Idee wäre, das Signal durch ein Monoflop zu schicken, dessen Ansprechverzögerung durch Deine Wunschsignalform (Spannung) geändert werden kann. Für sowas macht sich der 555 ganz gut. Wär dann noch die Frage, ob Du für beide Flanken oder nur eine den Jitter haben willst, da müssten dann noch passend ein paar Gatter drumrum.

M.

Reply to
Matthias Weingart

Komparator und die Spannung an dessen anderen Eingang mit der gewünschten Frequenz in Hub und Frequenz modulieren.

Jorgen

Reply to
Jorgen Lund-Nielsen

Hallo Frank,

Frank Buss schrieb:

Da es sich ja um ein digitales Signal handelt, könnte man dieses durch ein D-FF leiten. Als Takt nimmst Du einen Rechteckgenerator, mit einer wesentlich höheren Frequenz als das Nutzsignal, und FM-modulierst dieses mit der gewünschten "Form". Ist zwar nicht sauber, sollte den Zweck aber gut erfüllen.

Nur so ne Idee :-)

vg, Wolfgang

--
From-address is Spam trap
Use: wolfgang (dot) mahringer (at) sbg (dot) at
Reply to
Wolfgang Mahringer

Ein Verfahren waere, die Flanken etwas verlangsamen. Wenn es auf jeden Pfennig ankommt mit RC Tiefpass. Dann den "Salat" AM-aufmodulieren, im einfachsten Fall ueber VCC. Nun wieder per Schmitt-Trigger oder sonstwie zackige Flanken draus machen.

--
Gruesse, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.
Reply to
Joerg

Frank Buss schrieb:

Und noch einer: Schick das Signal durch einen Tiefpass, so dass die Schaltung gerade nicht mehr funktioniert. Das gibt dann schön verschliffene Flanken Dann das Signal durch einen schnellen Komparator. Mit dessen Referenz kannst Du dann den Jitter modulieren, z.B. einen Funktionsgenerator daran anschließen.

Michael

Reply to
Michael Rübig

Klingt nach einer guten Idee. Wie würde man die Flanken verlangsamen, wenn es nicht auf jeden Pfennig ankommt? Ein einfacher Tiefpass hätte den Nachteil, daß der Frequenzbereich recht eingeschränkt ist, also das runter zu tiefen Frequenzen prozentual gesehen nur viel weniger Jitter möglich ist.

Da ich ja sowieso nicht allzu hoch in den Frequenzen kommen will, also RS232 testen usw., könnte ich mir eine digitale Lösung vorstellen, also per DA-Wandler die ansteigenden und abfallenden Flanken erzeugen. Müsste wohl auch keine allzu große Auflösung haben, sodaß es schnell sein könnte und dabei dann auch nicht allzu teuer wäre. Wenn man das gut plant, sollten die Stufen nichts ausmachen, da durch den Schmitt-Trigger das wieder ausgeglichen werden sollte.

Müsste man mal durchrechnen, aber ich denke die Auswirkung wäre nur, daß wenn man ein Rauschen aufmoduliert, man nur entsprechend der Auflösung des DA-Wandlers und der Anzahl Stufen pro Flanke, an diskreten Zeitverschiebungen vom Mittelpunkt hätte. Wäre wahrscheinlich für viele Messtestanwendungen egal. Wobei dann natürlich die Frage aufkommt, ob das nicht vom Aufwand her gleich wäre, wie wenn ich das Signal direkt komplett mit Jitter digital erzeuge.

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss

Wie klein soll denn der kleinste Jitter sein, ps, ns oder zig ns? Wie waere es, ein Dreiecksignal zu erzeugen, und dann durch einen schnellen Komparator, dessen Schwelle man mit der gewuenschten Jitterform moduliert?

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------
Reply to
Uwe Bonnes

Dann koennte man eine Stromquelle nehmen, um eine lineare Flanke hinzulegen. Die haette den Vorteil, dass man die spektrale Energie des eingefloessten Jitter einfacher vorausberechnen kann. Denn dann gibt es einen linearen Zusammenhang zwischen der aufgepraegten Amplitudenmodulation (kuenstlich erzeugter Jitter) und der resultierenden Phasenmodulation.

Mit diesem Verfahren nicht, weil der Tiefpass nur dazu dient, eine aufgepraegte Amplitudenmodulation in Phasenmodulation zu verwandeln. So aehnlich mache ich schonmal programmierbare Phasenverschiebungen. Bei Design Reviews rollen sich bei manchen Leuten dann vor Ekel die Fussnaegel hoch, waehrend der Controller wegen der fuer die Silicon Delay Line gesparten zig Dollars einen Juchzer laesst :-)

Im Prinzip muss man sich ueberlegen, wie stark die maximale Jitter-Amplitude sein soll. Wenn sie sehr hoch ist, komplette Taktzyklen verwaschen und so, kann man den Tiefpass nicht nehmen. Wenn es aber z.B. bei einem Signal mit maximal 1MHz nur 100nsec sein soll dann geht es.

Das ginge auch, gibt aber eine geringe Granularitaet und damit diskrete Spektrallinien. Die will man bei kuenstlichem Phasenrauschen oft nicht.

Wenn Du es komplett digital erzeugen kannst waere das natuerlich was feines. Solange dafuer nicht ein teures FPGA und ein dickeres Netzteil her muss.

--
Gruesse, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.
Reply to
Joerg

Im Nanosekundenbereich wäre schön, dann könnte ich auch schnelle digitale Signale noch testen. Ich glaube eine Kombination wäre vielleicht nicht schlecht: Bei langsamen Signalen kann ich den Jitter digital erzeugen, da es dabei dann sowieso nicht auf Nanosekunden ankommt. Bei schnellen Signalen dann am besten per Tiefpassfilter und mit Komperator oder AM-Modulation (wobei Komperator wohl einfacher werden würde).

Eine schöne Lösung, die auch kein allzu hohes Oversampling wie meine andere Idee mit dem DA-Wandler für die Erzeugung von langsamen Flanken wäre vielleicht sowas: Ich habe sowieso einen Takt, mit dem ich das (beliebige) digitale Signal ausgebe. Wenn ich den Takt in ein Dreieck umwandeln würde, dann könnte ich darauf ein schönes Jitter mit der Komperator-Idee aufmodulieren und dieses Signal dann für die Ausgabe des Nutzsignals verwenden. Wenn der Jitter größer als eine Wellenlänge des Taktes sein soll, moduliere ich das noch digital zuvor. Das müsste am universellsten verwendbar sein, wenn auch wohl nicht ganz einfach zu bauen. Hat einer gute Ideen für Rechteck->Dreieck Wandlung?

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss

Ich weiss nicht wie hoch Du in der Frequenz rausmoechtest. Wenn sich das im Rahmen haelt, koennte man vielleicht ganz dekadent eine Loesung aus der Konservendose nehmen:

formatting link

--
Gruesse, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.
Reply to
Joerg

Frank Buss schrieb:

Hallo,

man kann einen geschalteten AufwärtsAbwärts-Integrator verwenden, ähnlich wie bei Funktionsgeneratorschaltungen. Der Pegel des Dreiecks wird dann zunächst frequeunzabhängig und man kann daher ohne Umschaltung nur einen begrenzten Frequenzbereich nutzen. Je nach Anforderung kann man möglicherweise den Dreieckspegel mit einem VCA anpassen (automatische Vestärkungsregelung).

Bernd Mayer

Reply to
Bernd Mayer

Bernd Mayer schrieb:

Nachtrag:

2.te Möglichkeit: Man könnte einen Frequenzspannungswandler verwenden und mit der Spannung einen gewöhnlichen Funktionsgenerator ansteuern.

Bernd Mayer

Reply to
Bernd Mayer

Dann wird es ja schon fast unsportlich einfach :-) Ich habe also zunächst mal eine digitale Signalquelle, die z.B. RS232 o.ä. generieren kann. Also digitale Signale, quantisiert im Raster x Sekunden, also ein high oder low Zustand kann x Sekunden lang oder ein mehrfaches davon sein. Dann generiere ich ein Dreieck mit 1/x Hz, synchron zum Raster, addiere das gewünschte Jittersignal und verwende das als Schwellwert für einen Komperator, um das Digitalsignal durchzuschalten (mit passender Hysterese).

Damit kann man aber nur einen Jitter von fast 0 bis fast x/2 Sekunden generieren. Noch schöner wäre natürlich bis x Sekunden, aber da bräuchte ich einen Sägezahngenerator statt Dreieck. So ein großer Jitter macht aber nur selten Sinn, denke ich mal, daher baue ich es am besten mal mit dem Dreieck auf, wenn ich Zeit dazu finde.

Wird allerdings einiges an Bauteilen brauchen, vielleicht ist rein digital doch besser, wenn ich die Frequenz hoch genug bekomme für eine anständige Jitterauflösung ohne zu starke Quantisierung. Viele FPGAs haben da ja diese Highspeed seriellen Ausgänge, damit müsste man bestimmt was tricksen können.

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss

Das würde wohl zu ungenau für meinen Fall werden, oder man müsste sich mehr mit Analogtechnik auskennen, als ich es tue :-)

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss

Frank Buss schrieb:

Früher gabs Konstantstromdioden, hab ich lange nicht mehr gesehen. z.B. J502-J511. Einige waren wohl auch richtig schnell und die mit den niedrigen Strömen funzten schon so bei 1V oder sogar drunter.

Hier ist ein Hersteller:

formatting link

In

formatting link
Fig. 15 könnte vielleicht helfen.

Im Prinzip ist das nichts anderes als ein N-JFET mit kurzgeschlossenem Gate und wenn es nur ein Einzelstück ist sollte sich das auch genau aus einem solchen und evtl. einem R bauen lassen. Mit dem R kannst Du dann den Strom einstellen.

Jörg.

Reply to
Jörg Schneide

Wenn Du das diskret aufbauen willst, da waere ein Saegezahngenerator noch einfacher. Es ist einfach eine Stromquelle, die einen kleinen Kondensator auflaedt, worauf dessen Spannung linear ansteigt. Bei Erreichen einer Schwelle wird er dann von einem FET brutal entladen und die Rampe geht von neuem los. Jetzt kann man den Jitter ueber alles moegliche variieren: Strom der Quelle regeln, Kondensator umschalten, oder den Schwellwert Deines Modulators aendern.

FPGA kenne ich nicht gut, aber man koennte eventuell eine PLL damit bauen und am Phasen-Komparator "wackeln".

--
Gruesse, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.
Reply to
Joerg

Habe es gerade mal mit LTSpice probiert: 10uF Kondensator und 100mA Stromquelle. Hat mir dann erst angezeigt, daß der Kondensator dauerhaft auf

10000 TV aufgeladen wäre :-) Nachdem ich dann die Stromquelle geändert hatte, sodaß die bei 0 A anfängt und nach einer Sekunde einen Puls von 10 s Länge ausgibt, kam dann was vernünftiges bei heraus. Steigt tatsächlich schön linear an, was ja auch klar ist, da das Q=C*U, und die Ladung ja nichts anderes als Ampersekunde ist, aber schon schön, das mal "praktisch" zu sehen.

Sollte das nicht besser synchron zum Digitalsignal sein? Aber ich glaube da liesse sich was einfaches machen: Ich steuere den Strom der Stromquelle so, daß bei der gewünschten Frequenz noch eine passende Amplitude herauskommt und schalte bei Bedarf andere Kondensatoren rein, für größere Frequenzänderungen. Das Rücksetzen wird dann per FPGA synchron vorgenommen. Das Jittersignal könnte man dann auch entsprechend der Amplitude des Sägezahns noch passend generieren, sodaß man wieder ein vorhersagbares Jitter erzeugen kann.

Oder eleganter wäre vielleicht, den Strom automatisch und analog so zu regeln, indem ein Spitzenwertdetektor des Sägezahns eine vorher festgelegte Spannung ausgibt und anhand derer die Stromquelle geregelt wird. Damit liesse sich sogar ganz ohne FPGA ein quarzstablisierter Sägezahngenrator bauen.

Ich kann mir nicht vorstellen, daß ich da als erster drauf gekommen bin, gibt es da schon fertige Schaltungen zu? Im Internet finde ich nur triviale Schaltungen z.B. mit Zähler und Widerstandnetzwerk für quarzstabile Sägezahngeneratoren mit fester Amplitude und einstellbarer Frequenz.

Man könnte vielleicht auch die eingebauten PLLs der FPGAs nehmen. Wenn es aber darum geht, was mehr über die interne Struktur herauszubekommen und wie man die abseits der Standardprogrammierung per VHDL oder Verilog direkter ansprechen kann (z.B. eine eigene Erzeugung des Konfigurationsbitstreams), dann geben sich die FPGA-Hersteller leider recht zugeknöpft.

Meine Idee wäre eher, z.B. einen der eingebauten SERDES Transmitter eines Cyclone 3 mit 500 Mbps senden zu lassen. Einem Digitalsignal bis 10 MHz könnte ich damit ein beliebiges Jitter mit 50-facher Auflösung digital aufprägen, indem ich den Datenstrom entsprechend berechne.

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss

Bei SPICE muss man aufpassen. Mir ist am Montag ein Schaltnetzteil mit PID-Regler dauernd virtuell aus dem Ruder gegangen. Fast rutschte mir ein Fluch ueber die Lippen, darf doch wohl nicht war sein und so. Dann sah ich dass ein Opamp langsame Exkursionen bis gut 800V am Ausgang hatte ...

Jetzt bist Du beinahe bei einer vollwertigen PLL angekommen :-)

Das wurde m.W. nur in grossen Laborgeraeten gemacht, z.B. in HP Messgeraeten der $40000-Klasse. Alles klassisch mit JFETs und Huehnerfutter.

Ja, auch ein Grund warum ich mit FPGAs nie richtig warm geworden bin. Ich mache das meist gleich analog, wenn man dabei von Exoten fern bleibt dann hat der Kunde die naechsten 20-50 Jahre seine Ruhe mit der Schaltung. Ich kann mich noch gut erinnern wie ich frueher ziemlich gegen PALs und GALs gewettert hatte. Die Leute benutzten die Dinger wie Popcorn, so wie heute viele Leute fuer ein einzelnes Mono-Flop gleich einen uC nehmen. Es kam was kommen musste. Fast der ganze Kram nach weniger als 20 Jahren abgekuendigt, Einkaeufer raufen sich die Haare, usw. Die Schaltung an der ich gerade dran bin hat viel was wir hier "Glue Logic" nennen. Der Kunde draengte Richtung CPLD. Hat fast eine Viertelstunde gekostet das auszureden. Jetzt ist alles wie ueblich 74HC, Widerstaende, Dioden und so. Vermutlich gibt's bei Uebergabe erstmal eine kurzen Aufschrei, aber das Dingen muss eben auch in 20 Jahren noch produzierbar sein :-)

--
Gruesse, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.
Reply to
Joerg

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.