Synthesis issues in Modelsim 5,7g SE for a simple ROM

Hi,

I am designing a simple ROM in VHDL and following is the code for it.Xilinx ISE 6.2i doesn't seem to have any issues synthesizing the design to a Virtex 2 chip(xc2v4000) or translating/mapping/routing the design(Implementation process).

When I use the test bench created by HDL bencher to see the results, in Modelsim, a behavioral simulation shows be proper results but a post translate simulation or anything beyond that like a Post Map or a Post place and route simulation show a U on all output pins and Modelsim gives me a number of warnings about "Unbound components" shown below..

Im stuck at this design phase and would appreciate any help from the VHDL gurus out there...Heres the code:-

-----------------------------------------------------------------------

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

-- Uncomment the following lines to use the declarations that are

-- provided for instantiating Xilinx primitive components.

--library UNISIM;

--use UNISIM.VComponents.all;

entity inrom is Port ( en : in std_logic; clk : in std_logic; dout : out std_logic_vector( 15 downto 0); valid : out std_logic; --valid data is present on output when 1 reset : in std_logic ); end inrom;

architecture rtl of inrom is

type array_rom is array (15 downto 0) of std_logic_vector( 15 downto

0); signal myarray : array_rom; signal valid_sig:std_logic; signal dout_sig : std_logic_vector(15 downto 0); signal clk2: std_logic;

begin

myarray(0)

Reply to
Sridhar Hegde
Loading thread data ...

Looks like Simprim libraries are missing which includes components like x_lut2, x_ff etc. Post Ngdbuild or post PAR back annotated simulation files have these libraries included. Unisim libraries are behavioral models while Simprim libraries are gate level models. Did you compile these libraries?

This answer record will guide you on doing backannotated simulations.

formatting link

Regards Vikram

Sridhar Hegde wrote:

Reply to
Vikram Pasham

Thanks Vikram,

My Modelsim libraries are compiled.I have the unisim,simprim,XilinxCorelib libraries compiled and they are under the directory

C:\modeltech5.7g\xilinx_libs\unisim C:\modeltech5.7g\xilinx_libs\simprim C:\modeltech5.7g\xilinx_libs\XilinxCoreLib

Earlier the libraries were pointing to Xilinx Libraries and hence I was seeing those errors.Now I see new errors like...

# ** Error: inrom_translate.vhd(641): Unknown identifier: init. ###### inrom_translate.vhd(653): INIT => '0' # ** Error: inrom_translate.vhd(653): Unknown identifier: init. ###### inrom_translate.vhd(665): INIT => '0' # ** Error: inrom_translate.vhd(665): Unknown identifier: init. ###### inrom_translate.vhd(1936): INIT => '0' # ** Error: inrom_translate.vhd(1936): Unknown identifier: init. ###### inrom_translate.vhd(1948): INIT => '0' # ** Error: inrom_translate.vhd(3531): Unknown identifier: x_roc ###### inrom_translate.vhd(3533): port map (O => GSR); # ** Error: inrom_translate.vhd(3533): Unknown component name ###### inrom_translate.vhd(3534): NlwBlockTOC : X_TOC # ** Error: inrom_translate.vhd(3534): Statement cannot be labeled. # ** Error: inrom_translate.vhd(3534): Unknown identifier: x_toc ###### inrom_translate.vhd(3535): port map (O => GTS); # ** Error: inrom_translate.vhd(3535): Unknown component name ###### inrom_translate.vhd(3537): end Structure; # ** Error: inrom_translate.vhd(3537): VHDL Compiler exiting # ERROR: C:/Modeltech_5.7g/win32/vcom failed.

Thanks for any ideas/help,

Sridhar

formatting link

Reply to
Sridhar Hegde

Hi Vikram,

This is my second message...I figured out the error and thought I would reply here just in case some one else encountered the same issue

"Component is not bound/unbound" warnings come (as you said) when Modelsim cant find the simprimlibraries.I had the libraries compiled as I said but I was pointing to the wrong ones.

I needed to point to the one under C:\Xilinx6\vhdl\mti_se\simprim instead of C:\Modelsim5.7g\xilinx_libs\simprim..

As soon as I fixed this, I got results as expected...Thanks for the guidance.Now I can proceed with my design!!

Best Regards, Sridhar

formatting link

Reply to
Sridhar Hegde

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.