Spartan 3 Starter Kit VHDL 7 segment LED driver

I just spent a little time googling, doing and debugging this. Thought I'd try and save anyone else the bother by posting this as a starting point. 'pos' is the number to display. 'servo_count' just counts.

Cheers, Syms.

Entity extract followed by VHDL process followed by UCF extract

use ieee.numeric_std.all;

entity top is port ( clock : in std_logic; --system clock res_n : in std_logic; --system clock DIGIT_ANODE : out std_logic_vector(3 downto --system clock SEGMENT : out std_logic_vector(7 downto --system clock ); end top;

led_driver : process(res_n, clock)

begin if res_n = '0' then digit

Reply to
Symon
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.