Port mapping a Verilog component in a VHDL design

Dear Sir or Madam,

I have the following problem:

I have a simulation component which is written in Verilog (not a trivial one which could be translated to VHDL). My toplevel design and all other components are written in VHDL.

My question:

Is it possible to include this Verilog component in my VHDL top level ?

What about the types std_logic / std_logic_vector ? Can I connect the inputs and outputs of the Verilog component to signals of these types ? How do I define it in the VHDL top level ?

I do not know if such thing is possible but I would be very thankful for any information about that.

Kind regards Andrés Vázquez G&D System Development

Reply to
ALuPin
Loading thread data ...

Mixed designs are supported by certain vendor tools. Modelsim SE has an integrated kernel. See

formatting link
page 2 for details.

one

of

Reply to
fabbl

--

--Ray Andraka, P.E. President, the Andraka Consulting Group, Inc.

401/884-7930 Fax 401/884-7950 email snipped-for-privacy@andraka.com
formatting link

"They that give up essential liberty to obtain a little temporary safety deserve neither liberty nor safety." -Benjamin Franklin, 1759

Reply to
Ray Andraka

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.