how to use a .ucf file?

Hello all, I understand that I can assign timing constraints using a .ucf file. I tried defining one (using the wizard) and including it in the project. In synthesis properties it is not possible to point out a .ucf file, and a .xcf file is expected. I left this blank. I selected the option 'write timing constraints file' and run the synthesis process. I see the tool consulting the .ucf file and gives no warnings. I ran Implement design processes and have a information that all of the timing constraints are met. When I run the simulation using ModelSim, .sdf and .vhd file generated by the ISE tool, the results look like the timing constraints are not met (even if I select a frequency much smaller than the tool accepted). Hints? Thanks in advance, Marija

Reply to
Marija
Loading thread data ...

ngdbuild should automatically pick up a ucf file if it is included in the project. You can also try adding "-uc ucf_file_name" to the ngdbuild command line.

I'd double check the clock frequency on the waveform. You clock generation code may assume a time unit and only use a number as the period. This may not work if the timing simulation uses a different time unit than the one used in the functional simulation.

HTH, Jim snipped-for-privacy@yahoo.com

formatting link

Reply to
Jim Wu

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.