Is this a bug in ISE 6.1?

It seems to me, the NGDBuild can't differentiate two XCF constraints which are brought in by the NGC files...

I put a clock period of 14.58ns in XCF for XST synthesis. Then I put a UCF constraint of 23.085ns for partially P&R on two sides of my XC2V6000...When I started to assemble them, the NGDBuild gave me this error:

ERROR:XdmHelpers:682 - Second definition of specification "TS_clk_in" found: first definition: PERIOD:clk_in:14580.000000:pS:HIGH:50.000000% second definition: PERIOD "clk_in" 14580.000000 pS HIGH 50.000000 % WARNING:XdmHelpers:681 - UCF definition of specification "TS_clk_in" overrides the definition found in the netlist or NCF file: UCF: PERIOD "clk_in" 23085.000000 pS HIGH 50.000000 % netlist/NCF: PERIOD:clk_in:14580.000000:pS:HIGH:50.000000%

How may I handle this sort of awkward situation?

Kelvin

Reply to
Kelvin
Loading thread data ...

=_NextPart_000_0072_01C447CF.C6FA20A0 Content-Type: text/plain; charset="iso-8859-1" Content-Transfer-Encoding: quoted-printable

This is a warning that tells you that the XST / PAR has used the last = constraint set in the UCF file.

Xilinx allows multiple constrainst to be set, but if more than one is = used the UCF file will have priority.

I think it goes something like EDIF file constraint to NCF file to UCF = file etc.

With UCF file setting the priority. i.e. the user can override what an = IP provider has stated in the EDIF or NCF for a particular place and = route run in the UCF.

Good Luck

Reply to
lee news

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.