How to create an EDIF file from ISE Foundation?

Hi all,

I have installed ISE Foundation (6.2.03) and tried to generate an EDIF file from a Verilog file. I remember I could do it a few years ago with 4.x version (not sure).

I need to install something special?

Anyway, what happens if the design has parameters? The EDIF file allow it?

Thanks in advance, Santiago.

Reply to
sanpab
Loading thread data ...

wrote

Hi, synthesize your design with xst and then use ngc2edif.exe from command line to translate the *.ngc netlist to EDIF format.

Another option is to run the 'translate' (ngdbuild) step after synthesis and then use ngd2edif.exe from command line.

/Michael

Reply to
Michael Rhotert

ngc2edif.exe is for simulation only and is the same as the xst edifngc paramter. ISE 4 was the last version to include the ability to compile to EDIF. The good thing is that you don't need it. Do this: put the ngc file in the same directory you're running NGDBuild on your EDIF file. Include in your EDIF file the cell interface declaration only. (You can cut this from the file ngc2edif generated.) If you have an EDIF cell interface declaration that is not a primitive, Xilinx will look for a file of the same name in a compiled format in the current directory and automatically merge that in.

line

and

Reply to
Brannon King

Thanks Michael, it works fine. The generated file was a NDF, so I renamed it to EDF and use it as a black box in other designs.

Any advices about parameters? May I use the black box with parameters or I must generate an EDF for each combination of them?

Regards, Santiago.

Reply to
sanpab

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.