Altera_VHDL_support library into Modelsim?

To all,

I had compiled my SOPC design with my master peripheral + sdram controller + my own slave peripheral (address generator) and when I imported the VHDL file into a new Modelsim project and tried to compile only this, there was a library file missing that the SOPC VHDL file declares (i.e. Altera_VHDL_Support). The error produced is: library "altera_vhdl_support" is not present. I searched for this, and it seems that SOPC places a package VHDL file within the directory the SOPC design was compiled in while using Quartus. However, in Modelsim when I tried to include this VHDL file in the Modelsim project and compile it into the default "work" library, the SOPC design still can not locate this library and still produces an error in compilation. Can anyone tell me where to locate this particular library so that I can try to compile it properly? I would really appreciate it.

Thanks, Pino

Reply to
pinod01
Loading thread data ...

Just in case, I have done the following to confirm that Modelsim guarantee's does not find the library file:

1) I inserted the altera_support_vhdl.vhd file into my Modelsim project 2) I compiled the .vhd file which created what I believe to be the library itself into the default "work" library for my project.

3) Recompiling the SOPC.vhd file produced the same error and it says that it still doesn't find that library.

Reply to
pinod01

To all,

I did a bit of poking around in Modelsim, and I figured it out. Just so you know what I did to figure this out, here's the steps:

1) I copied the altera_vhdl_support.vhd file into my Modelsim directory 2) I clicked on the library tab 3) I right-clicked and selected New Library, and created a new library called: "altera_vhdl_support" 4) In the project window I added the VHDL file for the altera_vhdl_support and right-clicked this file, to go to the compile properties, where I forced the compiled library into the "altera_vhdl_support" instead of the default work directory.

Once I did this, and re-compiled the SOPC builder VHDL file, it compiled. I haven't tested it yet, but at least it compiled.

Cheers....

Reply to
pinod01

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.