Binäruhr - Binärzähler

Hallo,

mich hat mal wieder der Bastelwahn gepackt, diesmal soll es aber nicht klackern oder brummen sondern still und friedlich leuchten.

Daher möchte ich zum ersten Mal an ein "digitales" Projekt wagen.

Es soll eine Binäruhr werden, eben eine Uhr, die die Uhrzeit in Binärzahlen darstellt, einfach durch LED.

Das ganze habe ich auch in KTechlab (ein Schaltungssimulator im KDE-Projekt) recht zügig zusammengeklickt, es läuft. Nun würde ich das ganze natürlich gern in Halbleitern verewigen.

Hier der Entwurf:

Was ich benötige wären drei Binärzähler, zwei davon mindestens 6Bit, einer mindestens 5Bit mit der Möglichkeit diese durch einen Logikpegel auf null zu setzen. Am liebsten wären mir natürlich Geschichten, die 5V-Pegeln arbeiten (TTL?). Welcher Binärzähler ist da geeignet? Die Recherche mittels google hat zwar eine Fülle von Binärzähler-ICs zu Tage gefördert, Datenblätter dafür eher nicht.

Alternativ könnte ich die Binärzähler aus T-Flipflops aufbauen, die sich auch mittels Logikpegel auf einen Ausgangszustand setzen lassen sollten, aber auch hier fand sich nach Netzrecherche ein eher ernüchterndes Bild.

Dann benötige ich einige UND-Gatter (7408, 4081?) um den Reset zu bewerkstelligen.

Und zum Abschluss gibt es dann noch die Hürde den Takt zu erzeugen. Am liebsten wäre mir natürlich ein Quarzschwingern, den ich mittels Frequenzteiler auf die nötigen 2Hz (oder 1Hz, je nachdem wie ich den Binärzähler nun realisiere) bringen könnte. Eine astabile Kippstufe (Timer-IC) könnte ich mir auch vorstellen, aber wie steht es hier mit der Genauigkeit?

Über Hinweise oder Weblinks die mir weiterhelfen würde ich mich sehr freuen. Tipps für gute Bücher zu der Thematik nehme ich auch gerne entgegen.

Vielen Dank für eure Mühe.

Gruß Patrick

--
Registered Linuxuser #410948
Reply to
Patrick Kibies
Loading thread data ...

"Patrick Kibies" schrieb im Newsbeitrag news:f99ssp$ta4$00$ snipped-for-privacy@news.t-online.com...

Fertig ?

formatting link
formatting link
formatting link
formatting link
Basteln?
formatting link
formatting link
formatting link
Abgesehen von der letzten kannst du sicher sein, dass die Leute einen einzigen Microcontroller als IC verwenden und keine weiteren (aktiven) Bauteile, denn erstens ist es zu viel sinnloser Aufwand die zu verkabeln, brauchen die Platz (Armbanduhr) und haben sowieso einen Quartz und sind damit halbwegs zeitstabil. Ja, die Programmierung von Microcontrollern muss man dazu erlerenen, das haben zigtausende aber auch schon geschafft. de.sci.electronics FAQ:
formatting link
F.7. Microcontroller MSP430 waere eine gute Wahl (Uhrenquartz, wenig Strom).

--
Manfred Winterhoff, reply-to invalid, use mawin at gmx dot net
homepage: http://www.geocities.com/mwinterhoff/
Read 'Art of Electronics' Horowitz/Hill before you ask.
Lese 'Hohe Schule der Elektronik 1+2' bevor du fragst.
Reply to
MaWin

Hallo,

Patrick Kibies schrieb:

Jahre her, aber ich kann mich noch gut an meine TTL Uhr erinnern. Sie illuminierte viele Jahre das Schlafzimmer. Man hatte immer die Zeit im Blick, fals man nachts mal munter wurde. Naja, aber ein wares TTL Grab. Als Zähler hatte ich damals 6 Stück D192 eingesetzt. Die einzelnen Resets wurden mit D100's (Heute 7400)decodiert. Gesetzt habe ich die Zähler mittels diesen Hex Codierschaltern.

Also meine Uhr aus Zahler, Reset Decodiererei, BCD zu 7-Segment Decodern und der Quarztaktteilung war schon ein Massengrab. Wenn Du nun die Zählerketten aus FF aufbauen möchtest...

Nimm einen Uhrenquarz. 4.096 MHz oder 32,768KHz. Dier sind auf derartige Anwendungen abgestimmt und hinreichend genau. Ich hatte damals einen Gangfehler von wenigen Sekunden im Monat. Das ist recht annehmbar für solche Verhältnisse.

Naja, Bücher.... Was soll man empfehlen? Es ist halt die Anwendung simplester Digitaltechnik. Ich würde heute derartiges nur noch mit Microcontroller aufbauen. Schon dem Stromverbrauch zu Liebe. Ich meine, die Uhr hat damals so ca. 10W verbraten. Naja, Du willst die Uhr binär anzeigen lassen. Evtl. ist da die Stromaufnahme geringer als bei 6 Stück 7-Segmentanzeigen nebst leuchtenden Doppelpunkten zwischen h, m, s.

Gruß Ingo

PS: Wenn ich mal in den analen meiner Datensicherungen und Aufzeichnungen nachsehe, finde ich bestimmt noch Layouts dazu.

Reply to
Ingo Liebe

Patrick Kibies schrieb:

Hallo,

tja, 5 und 6 Bit sind gerade ungünstig, bei den älteren Binärzählern gab es 4 Bit Zähler, später zwei davon in einem IC, noch später dann 8 Bit in einem IC, passt alles nicht so optimal.

Ich würde es mit 3 Stück 74xx393 probieren, das sind zwei Stück 4 Bit Zähler in einem Gehäuse. Nur wenn man diese bis 59 und 23 zählen lassen will statt bis 255 ist noch ziemlich viel weiterer Aufwand nötig, dafür bräuchtest Du noch Und Gatter aber auch noch Inverter. Man muß aber aufpassen ob es mit asynchronem Reset geht, wahrscheinlich aber braucht man synchrones Reset oder Load. Das Stellen der Uhr muß auch berücksichtigt werden, deshalb werden es wohl 6 Stück 74xx163 werden.

Bye

Reply to
Uwe Hercksen

Wenn es dir nicht um Nostalgie geht, dann nimm auf jeden Fall einen Mikrocontroller. Ganz egal was, 8031, AVR oder sonstwas in der Art.

Gruß

Stefan

Reply to
Stefan Brröring

"Patrick Kibies"

Microcontroller, sonst wird es ein Bausteingrab.

Andererseits fällt mir da das Tutorial zum Xilinx ISE ein, den du dir umsonst vom Hersteller holen kannst (kleine Registrierung, kostet aber nix)

Da wird als Tutorialprojekt eine digitale Stoppuhr (also mit zwei Siebensegmentanzeigen) durchgekaut für die kleinen CPLDs. Auf Gatterebene oder in Beschreibungssprache, beides, nettes Einstiegs- projekt für Digitalprojekt.

Und du kannst es natürlich locker für eine Binäruhr umbauen, musst nur die äussere Beschaltung des CPLD ändern.

Die Hardware kannst du selbst billig aufbauen oder auch billig für

3...15 Euro z.B. bei ebay bekommen (Suchbegriff CPLD Xilinx)

In einem CPLD bastelst du auch Hardware, kannst ihn aber sehr sehr oft "umprogrammieren" falls dir Anfängerfehler unterlaufen.

Bei einem TTL-Grab wird das deutlich aufwändiger.

Reply to
Ruediger Klenner

Eine "echte" binäre Darstellung ist recht einfach. Du brauchst nur einen Zähler mit mind. 17Bit, der beim Zählerstand 86400 bzw. 0x15180 zurückgesetzt wird. Das wären in TTL 3x74x393 und ein 5-faches Und-Gatter, welches sich aus einem 74x08 bilden lässt. Als Takt böte sich die Netzfrequenz an. Die LEDs geben hier allerdings die Anzahl der Sekunden seit Mitternacht an. Was dir vorschwebt ist die Ausgabe von HHMMSS als einzelne BCD Zahlen, also 18:58 -> 000@ @000 0@0@ @000 (@=LED an, 0=LED aus) Das führt mit Standardlogik zu einer Vielzahl benötigter Bausteine, aka TTL Grab. Wenn du die Möglichkeit hast, EPROMs oder Flashroms zu brennen, käme in diesem Fall eine Hybridlösung in Frage, bei der das EPROM einen Großteil der Dekodierlogik leisten kann. Bei Beschränkung auf Stunden und Minutenanzeige reicht ein 27C64 aus. Die 11 höherwertigen Adressleitungen gehen an einen Zähler, der die Tagesminuten zählt. Die niederwertigen Adressleitungen werden zum Multiplexen verwendet. Im EPROM muß nun für jede Tagesminute je ein Eintrag für jede Stelle vorhanden sein. Das EPROM kann auch gleich den Reset des Zählers bei Zählerstand 1440 erledigen. Selbst mit dieser Hybridlösung wirst du kaum unter 10 ICs auskommen und die wollen erst einmal verdrahtet werden. Eine uC-Lösung mit einem 8031er Derivat mit Flashspeicher ist hier deutlich übersichtlicher.

--
Dipl.-Inform(FH) Peter Heitzer, peter.heitzer@rz.uni-regensburg.de
HTML mails will be forwarded to /dev/null.
Reply to
Peter Heitzer

Hallo Patrick,

kleiner Tipp: vergiss die schn=F6de Bin=E4ruhr und baue was wirklich kultiges: eine Mengenlehreuhr. Infos und Hintergr=FCnde unter

formatting link

[...]

ciao

Marcus

Reply to
Marcus Woletz

[...]

Die einfachste Umsetzung wäre natürlich, die Sache mit einem µC zu erledigen.

Wenn du auf TTL- oder CMOS-Grab wie zu Urvaters Zeiten bestehst, dann würde ich für Standard-CMOS votieren, da frißt die eigentliche Schaltung wenigstens nicht mehr Strom als die LEDs der Anzeige. Außerdem gibt's den Kram für'n Appel und'n Ei überall zu kaufen.

Als Zähler könntest du z.B. 4520 verwenden, für die Gatter der Reset- und Übertragslogik 4011 oder 4001. Oder alternativ irgendwelche Decoder, z.B. sowas wie den 4028.

UND ist relativ ungebräuchlich. Man entscheidet sich i.d.R. für NAND- oder NOR-Logik und baut andere benötigte Logikfunktionen daraus zusammen. Bool'sche Algebra oder Karnaughpläne sind die Mittel, um dabei den Gatteraufwand zu optimieren.

Für solche Anwendungen gibt es reichlich Standard-CMOS-ICs. Den 4060 z.B. Vorn 32,768kHz Uhrenquartz vom Elektronik-Grabbeltisch ran, hinten

2Hz raus und schon ist der Drops gelutscht.
Reply to
Heiko Nocon

Patrick Kibies schrieb:

Hrm, fuer solche Lehrprojekte (Bauen Sie einen 1:37 Teiler) gab es die Hardware-Version des Simulators? So steckbare ICs und andere Bauteile - kein Loeten, rueckstandsfrei auseinanderbaubar.

- Die Symbole sind aehhh.

- 2 Hz Takt? Da muss *noch* ein Zaehler davor?

[...]

fuer den von dir angestrebten Lerneffekt wird dir nichts anderes uebrigbleiben, als ein "IC-Grab" aufzubauen.

Fuer einen Lerneffekt der anderen Art koenntest du hochintegrierte ICs, aeh, unkonventionell einsetzen. Der 6845 zaehlt eigentlich sehr schoen (und koennte evtl. auch gleich einen Fontgenerator ansteuern), aber man muss ihn programmieren - nicht gut (wegen erforderlicher Programmierlogik, braucht doch wieder CPU).

In den Leiterplatten stecke ich nicht so drin, ich poste nur wegen folgender perv^W unkonventioneller Idee:

*Wenn* man einen Z80 mit 4 Hz (ja, Hz, nicht MHz) takten darf, dann nimmst du einen Z80 und ein 64KByte-Eprom und betest, dass man die LEDs direkt an den Adressbus klemmen darf. (Darf man?) Auch sollte man einen Z80 mit positiver Logik benutzen.

Also im Idealfall Kaefer CPU, Kaefer EPROM, 16 LEDs (+Rs?), 5V, fertig (reicht halt nur fuer 12-Stunden-Anzeige) Nachgucken ob und wie das alles so spielt und darf musst du schon selbst. Vielleicht kriegst du den unruhigen Lauf bei jeder vollen Stunde weg..

Uhr stellen: Um Mitternacht den Draht an die RESET-Leitung legen :)

Das EPROM einzusparen geht glaub ich nicht, man kriegt zwar mit fixem Datenbus (und evtl. externer Logik, wobei da Probleme mit "wie lange dauert ein RESET" und "darf ich A15 bis A10 einfach so verodern" zu klaeren sind) alle moeglichen wirren Tastverhaeltnisse hin, aber fuer die noetigen 12:60:60 ist mir nichts eingefallen. Evtl. mit externer Null-Logik ein 60-Teiler machbar: dann braeuchte man aber auch wieder mindestens 3 CPUs: zuviele Kaefer. Aber den

4 Hz-Takt koennte man vielleicht aus 4, 2 oder 1 MHz so gewinnen. Gleich mit 1 MHz takten ist nicht so gut, weil man im Programm dann zaehlen muss und dann braucht man doch wieder externen State (ist im Prinzip dann nichts anderes als die mehrfach vorgeschlagene Mikrocontroller-Loesung)

Der 8086 hat einen fuer solche Zwecke interessanteren Befehlssatz (E2 = -30 = LOOP) und man kann ihm gleich zwei Bytes auf dem Datenbus geben, aber dummerweise ist bei diesem Kaefer Daten- und Adressbus gemultiplext, wodurch er sich nicht so gut missbrauchen laesst. Ausserdem braucht die NASA ihre 8086 (oder war das eine Urban Legend?)

Reply to
njetnjet

Halte ich für den sinnvollsten Vorschlag bis jetzt. Bevor Patrick sich auf einen µC stürzt, kann er ruhig mal ein bisschen Logik verdrahten.

4000er oder 74HC CMOS ist relativ gutmütig und sparsam.

Oder Dioden-Logik. Bei so langsamem Kram IMHO das Mittel der Wahl. OK, sauberen Logik-Entwurf lernt man so nicht, falls das ein Ziel ist.

XL

Reply to
Axel Schwenke

Warum nicht CPLD? Kann er sich auf Gatterebene austoben und ein

9536er Evaluation board bekommt er als Bausatz für 5 und fertig aufgebaut für 20 Euro, neu, alles komplett incl. Taktgenerator.

Müssen nur noch die LEDs ran.

Und er kann sich in Digitaltechnik einarbeiten, auf Gatter- und Registerebene austoben u.s.w., was ja sein Ziel ist.

Entwicklungsumgebung umsonst und Simulator auch schon dabei, was braucht man mehr?

Wenn er Standardlogik nimmt muss er wesentlich mehr aufbauen und dann jedesmal, wenn er einen Fehler entdeckt oder eine neue Idee hat, umlöten. Nachteil!

Reply to
Ruediger Klenner

Weil dann im Vergleich zu dem was er eigentlich lernen wollte, den ca. zehnfachen Zeitaufwand hat um überhaupt erst mal mit der Toolchain zurecht zu kommen.

Und Faktor 10 ist konservativ geschätzt.

Der CMOS-Kram kostet auch mehr Versand (oder Fahrtkosten zum nächstgelegenen C) als die Bauteile.

Wenn man nur simulieren will und nichts selber aufbaut, dann lernt man auch nichts. Ernst gemeint. Dann kann man gleich den ganzen Schritt zum Tastaturquäler machen und programmieren.

Nein. Vorteil. Man lernt was dabei. Und am Ende kommt ein funktionierendes Stück Hardware raus, das man anfassen kann.

95% der synthetisierten und simulierten Sachen erblicken doch nie das Licht der Welt.

XL

Reply to
Axel Schwenke

Also ich war mit ISE 5 damals auf Anhieb klargekommen, eigentlich ein übersichtliches System.

Das Tutorial auch sehr schön, man pickt sich die Bauteile für die Stoppuhr, Zähler, Gatter, FFs einfach aus der Bibliothek und platziert sie im Schaltplaneditor, verbindet sie, benennt die ports und weist sie den Bausteinpin zu.

Am Ende synthetisiert was, dann das Kabel noch in den Druckerport eingestöpselt und fertig.

Die Stoppuhr muss ich noch irgendwo haben. Leider hatte ich nie eine Verwendung für eine Stopuhr *g*

Kann ich nicht bestätigen. Frühere Sachen vielleicht... WinCUPL für GALs fand ich immer grauslich... Aber ISE kann ich empfehlen. Übersichtlich und funzt.

War letzten Samstag 16h beim blauen Claus in Essen (Bochum gibts keinen), brauchte noch schnell zwei HC04 und ein HC86. Und was erzählt mir die nette Dame hinter der Safttheke? "HC haben wir leider gar nicht mehr im Sortiment, aber ich kann ihnen HCT geben...". Was mir in diesem Fall nix nützte :\ Erst konnte ich es gar nicht glauben, dachte, ich hätte mich verhört!

Kann er sich doch auch aufbauen mit einem CPLD. Wird sogar schön kompakt dann. Ob er die Zähler, Gatter u.s.w. auf PCB verbindet oder das synthetisieren lässt ist doch egal?

Ich meine, man lernt nix durch einfaches aufbauen, man lernt durch Fehler! Ich glaube nicht, dass er gleich auf Anhieb die ultimative Binäruhr hinbekommt und wenn die Fehlersuche auf einem CMOS-Bauteil- grab erstmal losgeht...

Wenn er nicht weiss wo der Fehler steckt, kann er beim CPLD auch Signale nach aussen führen und messen. Vor allem kann er die Hard- ware leicht umstricken.

Was lernt er denn, was er mit einem programmierbaren Baustein nicht auch lernen würde? Das löten? Wie man einen Draht von Baustein 3 pin

12 nach Baustein 7 pin 2 legt?

mit CPLD auch, kann man auch anfassen. LEDs leuchten lassen kann es auch.

A wa! Ich befürchte eher, dass eine Binäruhr als Anfängerprojekt in CMOS Standardbauteilen nie das Licht der Welt erblickt, weil sie nach dem Aufbau nicht funktioniert und der Fehler nicht gefunden wird. Der Fehler liegt natürlich schon irgendwo in der Logik, aber lässt sich nicht durch Messung finden weil zusätzlich noch irgendwo zwei Fädeldrähte vertauscht wurden und einer vergessen...

--> Keine Messung ergibt Sinn --> Frust und ab in die Ecke!

Reply to
Ruediger Klenner

Diesem Projekt könnte er das gut per Schematic Entry machen, da braucht er keine große Einarbeitung. Zumindest imho deutlich weniger als Einarbeitung als in eine uC Toolchain und das Mapping auf die Architektur übernimmt die Software, da kann er also lauter UND Gatter verwenden, auch wenn die Architektur intern anders ausschaut.

Es ist zumindest beides so günstig, das der Preis wohl eher nicht das Hindernis ist.

Er hat doch gar nichts von NUR simulieren gesagt, aber bei Designs die etwas komplexer sind als eine binäre Uhr kommt man um den Simulator als Hilfe zum Entdecken logischer Fehler kaum herum.

Weil die Fehler im Simulator gefunden werden bevor sie in richtiger Hardware nicht funktionieren? Jan

Reply to
Jan Lucas

"Jan Lucas" schrieb:

Genau, braucht er sich um NAND und NOR, um Karnaugh und de Morgan erstmal nicht so sehr Gedanken machen...

Ich dachte auch daran: Wenn Patrick so weitermacht, wie er mit seiner Uhr angefangen hat, d.h. mit asynchronen Designs, hat er schnell Sachen zusammengebastelt die nur in der Theorie funktionieren, real aber nicht und mit seinem (vermutlich) lahmen Bastleroszi sieht er dann nicht mal, warum seine Logik fehlfunktioniert. Simulator zeigt es jedoch sofort glasklar!

Reply to
Ruediger Klenner

Hallo,

[Irgendwie fasst hier wohl kaum e> Hier der Entwurf:

Die Limits für Reset sind m.E. falsch.

formatting link
dort Part number search deckt das Thema 74-ger ziemlich umfänglich ab.

Bei dem Tempo: Dioden, und bitte kein asynchrones Reset, denn das gibt Spikes, die u.U. ziemlich unangenehme Folgen in der nächsten Stufe haben können. Der Trick, dass der sich ja selbst löschende Reset einen Zuverlässigen Clock-Puls für die nächste Stufe erzeugt ist gar nicht immer so sicher.

Geht, aber es sollte schon ein Uhrenquarzsein, sonst heißt es immer mal nachstellen.

Einfacher und hochgenau ist die Destillation der 50Hz aus dem Netz.

Sehr schlecht.

und Spikes Also ich würde es mit synchronen Countern bauen.

6*74169 (4 Bit sync up/down) + 1*7414 (NOT) und ein Satz Dioden, für die Limits.

Je zwei werden über /RCO kaskadiert und bilden eine logische Zahl.

Alle Data-Inputs auf Masse. Die gesetzten Bits der Maximal-Zahl (23/59) per Dioden und Pull-Up auf + AND-Verknüpft und an /ENT vom ersten Zähler der nächsten logischen Zahl sowie über ein Gatter des 7414 an LOAD. Die gelöschten Bits der Zahl müssen nicht in die logische Und-Bedingung, da größere Zahlen ohnehin nicht vorkommen dürfen.

Alle Clocks zusammen an 1Hz. Aus 50Hz Netzsignal per 74390 gewonnen. Dabei einfach beim zweiten Zähler nur den 5-er Divider nehmen. Die 50Hz holt man einfach aus einer Strippe vor dem Gleichrichter. Clamping-Netz, Tiefpass (ein Kondensator) und dann an den Eingang eines Inverters im 7414.

Fertig, aber ...

... die tollste Uhr ergibt keinen Sinn, wenn man sie nicht stellen kann.

Das wiederum geht mit den up/down Countern ganz gut. Einfach per up/down Taster /ENT der jeweils ersten Stufe einer logischen Zahl auf Masse ziehen (geht wieder per Dioden und Pullup) und bei Down noch zusätzlich U|/D auf Masse. Dann zählt die jeweilige Zahl im Sekundentakt in eine Richtung. Für die Sekunden würde ich wie üblich nur einen Reset vorsehen. Dazu einfach wieder mit Diode und Pullup /LOAD vom ersten Counter auf Masse ziehen. Da die Zähler synchron sind, muss man sich um Tastenprellen ebensowenig Sorgen machen wie um Spikes.

Wenn man schneller als mit 1/s die Uhr stellen will, speist man einfach einen höheren Takt aus dem '393 ein (z.b. 5Hz aus der ersten Stufe) und setzt die 1Hz aus dem letzten Ausgang über einen der 7414-Inverter auf alle ENP-Enables. Das kann man per Tastendruck dann auch mit Dioden auf Masse ziehen, dann stellt sich die Uhr schneller.

Macht summa summarum 8 Chips ggf. als CMOS (74HC) und ein paar Dioden und Pull-Ups, sowie die LEDs. Und wirklich schlechter als die Lösungen mit irgendwelchen programmierbaren Zeugs ist das auch nicht.

Statt den 6*74169 kann man natürlich auch 3*74869 nehmen, dann sind es 3 Chips weniger. Sind aber nicht so Allerweltsdinger.

Marcel

Reply to
Marcel Müller

Och nö. Kaufen is so einfach...

Jawoll!

Ist eine Dezimaluhr, jedenfalls im Original.

Das auch. (Einer-, Zehnerleds), ich möchter aber einer, zweier, vierer... Kann man sicherlich auch per Mikrocontroller lösen...

Hier werden die Stellen der Dezimalzahlen binär dargestellt. Eine Mischlösung.

Aber das ist bei einer Lösung mittel Mikrocontroller sicherlich eine Softwarefrage.

Na gut. Der Verdrahtungsaufwand ist ja das, was Spaß an der Sache macht. In der Diskussion mit dem Bekanntenm der das Ganze mittels Mikrocontroller lösen wollte habe ich noch gesagt: "Das ist doch zu schade, das geht ohne Programmsteuerung".

den habe ich...

Das ist natürlich ein gutes Argument.

Na gut. Das wollte ich sowieso irgendwann einmal...

Hm. Programmierbar in C, freie Software erhältlich. Liest sich doch erstmal ganz gut.

Gruß Patrick

--
Registered Linuxuser #410948
Reply to
Patrick Kibies

Hm. Ein 8Bit-Zähler teilweise genutzt oder zwei 4Bit-Zähler entsprechend verschaltet und nur teilweise genutzt müsste doch auch zum erwünschten Ergebnis führen.

Hm. Okay. Sollte ich mir vorstellen können.

Klar. Inverter sollte man aus Widerstand und Transistor bauen können. (Schnell überlegt... CMIIW)

Hm. Oder man trennt den Zähler kamikazemäßig kurz von der Versorgungsspannung... (der sollte doch in einem konsistenten Zustand, nämlich "0000" wieder bereitstehen, oder irre ich?

Stellen einfach über Taster, mit denen man den Zähler weiter"tastet".

Gruß Patrick

--
Registered Linuxuser #410948
Reply to
Patrick Kibies

Patrick Kibies schrieb:

Hallo,

nein, da nimmt man 74xx04, da sind gleich 6 Stück drin.

Bye

Reply to
Uwe Hercksen

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.