Latest threads in Field-Programmable Gate Arraysshow only best voted threads

Subject Author Posted Replies
 
Spartan-6 PCI speed
Hello all, Has anyone used XAPP1052 for testing the speed of the PCI hard macro in the spartan-6 LXT FPGAs ? What speeds are you getting ? Regards, Finn S. Nielsen Morphologic ApS
 
VHDL connection problem
I have a memory that output a 64-bit slv. I have another module that has a record type with a data 64-bit slv input. I want to connect the two together. If I do this I get x. If I disconnect them the...
3
3
 
wireless module for DSP stratix III
I am new to the world of Altera and FPGA . Really hope to get some helps from this forum. Thanks in advance! My case is: I have MCSOP running in my stratix III DSP board . The outputs of the...
1
1
 
Testbench
I would like to write a testbench in VHDL using constrained random values and transactions. Are there any free packages that people know about that do this sort of thing? TIA...
4
4
 
macro
Hello everybody, I need help in dealling with hard macros (in vhdl). I want to instantiate my macro in a vhdl design. any one have an idea how to do it ? I tied to do as instantiating vhdl modules but...
2
2
 
ISE 13.2 CPLD Schematic projects
Could someone please try the following: In ISE 13.2, open one of the 9572XL schematic example projects (jc2_sch, jc2_sver or jc2_svhd) and run the Floorplan IO - Pre-Synthesis process. Does the design...
 
most stable version of ISE ?
I've seen lots of messages a while ago about how ISE is going downhill... Which version of ISE would people recommend for fairly simple VHDL projects using Spartan-3 and Spartan-6? I'm currently...
3
3
 
VHDL problem
I want to do something like this signal slv : std_logic_vector(7 downto 0); signal sl : std_logic; signal res : std_logic; res
4
4
 
Mobile Applications Conference Bangalore on 15-October
Hi, I got to know of an exciting event happening in Bangalore on October 15th I guess it will add a great value to all the professionals in the respective domain. I believe it is worth attending as...
 
uK Embedded Masterclass - 5th October
Just to let you know about the Embedded Masterclass - Cambridge 5th Oct Useful workshops, technical presentations and exhibition of tools, hardware and software. regards Richard
 
Implementation Issue
Hi Guys, I'm using the Spartan-3E 1600E Microblaze development kit. What I'm basically doing is writing to the on board DAC (Linear Tech LTC2624 Quad DAC), and then reading from the on board ADC...
3
3
 
PCI core with expansion ROM support
Good day, I'm working now with Spartan3 PCI project using the pci32tlite core. But it doesn't support expansion ROM (onboard BIOS) from scratch. Where can I find free PCI IP-core which support...
3
3
 
Actel .DCF Constraint File
Hello. I'm having trouble finding documentation on how to add timing constraints to this old design that I'm retargeting to another antifuse device (MX). Specifically, I need to know syntax and how to...
 
Modelsim cannot run its example tcl
Hi, I want to learn tcl in Modelsim for FPGA simulation. I find that there is a directory under modelsim named tcl_tutorialsolutions. But the file cannot run with the following error message under dot...
2
2
 
FPGA + TVP70025i Board
I am looking for an affordable FPGA development board with TVP70025I Board. I am assuming that the board can take in VGA input and then pass the digitized data to FPGA. Is there such a board? Thanks.
2
2