Hi, I would like to kown if is possible to instatiates a N number of a specific component using a struture as "for generate" or another one. What I'm looking for is a code with a generic number of a especific component. I want to write a peace of a repetitive text using a structure like "for loop" or "for generate" and use the number of ' N ' as an parameter to the compiler.
Supose the signals and the component above: ... clkin,input : std_logic_vector(N-1 DOWNTO 0); clkout,output : std_logic_vector(N-1 DOWNTO 0); ... component fifo port( ckwrite,din : in std_logic; ckread,dout : out std_logic); end component; ...
There is a way to instantiate 'N' VHDL components using a repetitive strutucture like this?
... for i range 0 to N-1generate
fifo_inst(i) : fifo port( ckwrite => clkin(i), din => input(i), ckread => clkout(i), dout => output(i));
end generate; ...
Thanks
Ivan