Strange error in Quartus II 3.0

After searching for the source of an error for quite a long time, I've decided that I need some help, and once again you guys drew the shortest straw ;-)

I have a 8 bit DFF with output q[7..0]. This feeds the net dff_inst23_out[7..0]. (The reason this net is given this name, was to see if the error actually was located where I thought it was, since the original error pointed to some temp net.) Both the DFF output and the net is 8 bits wide, and still I get this error message:

Error: Net dff_inst23_out[6] cannot be assigned more than one value Error: Net is fed by std_8bit_dff0:inst8|lpm_ff:lpm_ff_component|dffs[6] Error: Net is fed by std_8bit_dff0:inst9|lpm_ff:lpm_ff_component|dffs[6] Error: Net is fed by std_8bit_dff0:inst23|lpm_ff:lpm_ff_component|dffs[6]

This is repeated for each bit of dff_inst23_out.

Ok, so I have two other registers that feed this net, but they are not connected! I understand that this is happening because the output of these other registers are the same as the inst23 one, but hey, I've got more registers like that, all over the place! So why is this happening to this particular net?

I've taken a screenshot of the design in question, and my troublesome net is the blue stub:

formatting link

Any suggestions would be appreaciated! Sincerely

-"Panic"

Reply to
Panic
Loading thread data ...

This looks like a bug. Panic, please email me the qar file for your project, and I will take a look. In the meantime try replacing the lpm_ff with a dff primitive and see if it makes a difference.

- Subroto Datta Altera Corp.

if

is

Reply to
Subroto Datta

Sounds like you shorted several outputs together. Given the error messages, you should check if your instantiations of std_8bit_dff0:inst8|lpm_ff:lpm_ff_component|dffs[6] etc. are correct. It looks like you assigned several of them the same output node.

Vaughn Altera

Reply to
Vaughn Betz

project,

dff

I tried to use dff primitives for all the three std_8bit_dffs (lpm_dff) that fed the troublesome net in question, but that didn't change anything. I also tried to remove all the lmf_dffs and the net stubs closest to them, and insert new ones, but that didn't help either. (Not that I tought it would...but you never know...)

What's so strange is that I have another design that is more or less equal to this, only without the four 8 bit key inputs and four 8 bit xors, but the section of the design that causes me trouble is totally alike between the two designs!

Reply to
Panic

Yes, somehow I must have done that. Or...Quartus did anyway.

How can I check this? (Have you looked at the image I posted in my OP?)

But how? Not physically. That clearly shows on the image.

Reply to
Panic

Panic, There is a connection error in the schematic that you provided me which is not evident from the bitmap posted on the web. The qar file with the schematics shows this problem. Two bitmaps have been posted to you, one circling the problem connection and the other one with the fix.

- Subroto Datta Altera Corp.

Reply to
Subroto Datta

Thanks a lot. That one had escaped me!

Reply to
Panic

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.