Simulation error with ModelSim

hi, I'm using ISE6.3.03i and ModelSim5.6. I have a disign whitch work in simulation "Post Translate" and "Post Map". But when I try to execute a simulation "Post Place And Route", resutl are strange. The delay between rising egde and a new data change the result of my simulation. Sometimes it's ok, and sometimes it's doesn't work. I try several delay but I don't understand why!!

My constraint file : clk = 160MHz offset in = 6.25ns offset out =6.25ns

thnaks for your answers.

Cédric

Reply to
cedric
Loading thread data ...

It seems your clock speed is too fast for your design. Try to modify the design, or choose a faster chip, or do both.

vax, 9000

Reply to
vax, 9000

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.