Error on launch the Simulator

Hallo at al

I have created my IPCore which is connected on PLB Bus. Now I create

a Simulationfile and want to launch it. The ModelSim starts and agte a little bit compiling launch a Error

# ** Error: (vcom-11) Could not fin

proc_common_v1_00_b.proc_common_pkg # ** Error C:/EDK/hw/XilinxProcessorIPLib/pcores/ipif_common_v1_00_b/hdl/vhdl/ipif_pkg.vhd(303) Cannot find expanded name: proc_common_v1_00_b.proc_common_pkg # ** Error C:/EDK/hw/XilinxProcessorIPLib/pcores/ipif_common_v1_00_b/hdl/vhdl/ipif_pkg.vhd(303) Unknown field 'proc_common_pkg' # ** Error C:/EDK/hw/XilinxProcessorIPLib/pcores/ipif_common_v1_00_b/hdl/vhdl/ipif_pkg.vhd(304) VHDL Compiler exitin # ** Error: C:/Modeltech_xe_starter/win32xoem/vcom failed

Can it be that the IPIF_pkg of ipif_common_v1_00_b is bad

thk

ca

Reply to
digi
Loading thread data ...

I dont know what kind of simulation are you using but I suggest you to try and simulate it using the "simulate post-translate VHDL model" instead of the "simulate behavioral model" i hope that it will work for you.

Moti.

Reply to
Moti

digi,

did you includ the xilinx libraries in modelsim? they should be listed into the library tab of modelsim workspace.

andrea

Reply to
Andrea Sabatini

Hallo

at first thank you for repla

@Andrea Sabatin

yes, all the libraries were there. But he sais that there are not

there :-

@Moti Cohe

I tried your tip. The errors were there but another ones. Now he fin

not the packages which were defined in my testbench. Then i d somethink, but i don't know what, and the simulator runs :- But after i do this "simulate post-translate VHDL model". And now th "simulate behavioral model" runs too

Many Thanks !!!

Reply to
digi

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.