Requesting for an Actel Library

Hi,

I am a student,I am new in VHDL and want to implement a project. I am working on VHDL with Actel Libero. In my previous implementation, I have used the "RAMB4_S1_S1"(4K-Bit Data Dual Port Block RAM) and "RAMB4_S1"(4K-Bit Data Single Port Block RAM) which are implemented in the "UNISIM" in Xilinx. Could you please provide me with the information of an equivalent library that implement these RAMs in Actel Libero ?

Thanks in advance

Best regards

Reply to
irfan.mohammed
Loading thread data ...

Reply to
Daniel Leu

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.