Actel A54SX72A - FF with clear and preset? Necessary for triple redundant register

Hi All,

I'm developing a system which will work in the irradiated area. One of the components is the triple redundant register with asynchronous loading from the control bus. Additionally the register's should be refreshed with the system clock. The control bus is asynchronous.

My implementation uses the asynchronous Clear and Preset to implement the asynchronous loading, while Data input is used for synchronous refresh of the register's contents.

The implementation (of single bit) for Xilinx is shown below:

======================== XILINX IMPLEMENTATION =========================== library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work;

entity tripsigas is port ( input : in std_logic; output : out std_logic; init : in std_logic; -- State after reset awe : in std_logic; -- asynchronous loading arst : in std_logic; -- aynchronous resets, prevent -- optimizing out redundant FFs rst : in std_logic_vector(2 downto 0); -- synchronous resets clk : in std_logic );

end tripsigas;

architecture tripsig1 of tripsigas is type my_data is array(2 downto 0) of std_logic; signal d : my_data; signal fclr,fset, s_output : std_logic; signal din : my_data; component FDCP generic ( INIT : bit := '1'); port ( Q : out STD_ULOGIC; C : in STD_ULOGIC; CLR : in STD_ULOGIC; D : in STD_ULOGIC; PRE : in STD_ULOGIC ); end component;

begin -- trip1 fclr din(j1), -- Data input PRE => fset -- Asynchronous set input ); din(j1)

Reply to
Wojciech Zabolotny
Loading thread data ...

Is there a question in there somewhere?

-- Greg snipped-for-privacy@hotmail.com.invalid (Remove the '.invalid' twice to send Email)

Reply to
Gregory C. Read

Why not using a RT54SX (Of course its a big difference in price)? It has the FF already TMR, and you got also a protected reset and clock path. When using the A54SX you will have trouble protecting Reset and Clock.

If you need a specific element, why not instanciating it by hand?

bye Thomas

--
Emailantworten bitte an thomas[at]obige_domain.
Usenet_10 ist für Viren und Spam reserviert
Reply to
Thomas Stanka

Yes I know. The price of RT54SX was unacceptable for us :-(. There will be above 200 boards with that chip, and above 1000 boards with Xilinx'es...

Well, I just couldn't discover how to instantiate the FF by hand in the Actel platform...

--
Thanks, Wojtek
Reply to
Wojciech Zabolotny

[snip]

flip/flops,

them?

Are you saying that the synthesis uses only C-cells, or C-cells in addition to R-cells. Your fclr and fset inputs require gates, so an R-Cell could not implement the logic by itself. I think in Xilinx you would use a LUT as well as the adjacent flip-flop to implement each bit.

Reply to
Gabor

This number could be cheaper by an ASIC, if your time schedule allows the extra time.

In designer, you could choose to place by hand. I guess that you have to modify the edif netlist before reading in, to get cells, that could be set by hand on a c-cell place. Remember that a FF could be build from complete combinatorial gates.

bye Thomas

--
Emailantworten bitte an thomas[at]obige_domain.
Usenet_10 ist für Viren und Spam reserviert
Reply to
Thomas Stanka

Unfortunately, the additional requirement is that the firmware in Xilinx'es should be upgradeable, so they can not be replaced with ASICs...

The Actels are needed to "wake up" other parts of the system by reading their configuration from the local FLASH (with ECC protected redundant configuration data), so they have to be nonvolatile.

Maybe the FLASH based Actels could be sufficient for this purpose, but I'm afraid to use FLASH configured parts in irradiated environments. (The FLASH memories are acceptable, because there will be a way to refresh their contents).

Additionally the Actels should store some user data, and send them to Xilinx'es after reconfiguration. That's what are these reduntant registers for...

--
Thanks & regards,
Wojtek Zabolotny
Reply to
Wojciech Zabolotny

HI,

seems I had to be more specific :).

Thomas Stanka wrote:

If your synthesis tool didn't use the FF_cc you have to replace them in the netlist. Actel uses Dxx_CC for the C-Cell variant of Dxx. The DF1 could be replaced by DF1_CC. I don't know if you could replace a FF with set and preset by a Dxx_CC for the A54SX technologie, check this with your local Actel representant.

bye Thomas

--
Emailantworten bitte an thomas[at]obige_domain.
Usenet_10 ist für Viren und Spam reserviert
Reply to
Thomas Stanka

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.