Programming Question

S/w used : Xilinx WebPack Chip : Spartan - XC2S200PQ208C

I have configured a bus as a bi-direction by declaring it as 'inout' However on synthesizing the verilog files, the constraints editor shows some of the bus lines of the bus as BiDir and some as Tri Output

Is there any reason all the lines are not BiDir? What could have forced some of the line to become TriOutput?

Reply to
Rohan
Loading thread data ...

S/w used : Xilinx WebPack Chip : Spartan - XC2S200PQ208C

I have configured a bus as a bi-direction by declaring it as 'inout' However on synthesizing the verilog files, the constraints editor shows some of the bus lines of the bus as BiDir and some as Tri Output

Is there any reason all the lines are not BiDir? What could have forced some of the line to become TriOutput?

Reply to
Rohan

If you never use the input of the bidir signal, it will be configured as a tristate output.

Reply to
John_H

Yes if you have never used your INOUT pins as an input to your design then when you sysnthesize your code tool will automatically treated it as a tristated output only.

Sachin

Reply to
Sachin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.