problem with adding custom logic to an IP core (xilinx edk)

Hi all, I'm experiencing problems with adding custom logic to an IP core that I have generate in EDK. I changed the vhdl file of an auto- generated IP core that is connected via FSL to the FPGA, but the behaviour of the program remains still the same. I've tried also to re- import the modified core to the project, but I still get errors due to the fact that the file xparameters.h doesn't contains the appropriated names. How can I do to let EDK notice changes in vhdl files? Thank you in advance!

Giulio

Reply to
techG
Loading thread data ...

Hi Giulio,

You can regenerate the xparameters.h file using this menu option: Software -> Generate Libraries and BSPs

Andy

Reply to
Andrew Greensted

project -> clean all generated files

OR

hardware -> clean hardware

should do the trick.

-Jeff

Reply to
Jeff Cunningham

I just asked this question a couple of days ago. For the complete answer and process, refer to my "EDK 9.1 Issues" post from 11-15-07.

---Matthew Hicks

Reply to
Matthew Hicks

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.