I have problem with schematic Webpack. Why can't 2 Bus on 1 Output?

Hello

Sorry i write an understandig very bad english.

I want make a projekt(Webpakc 7.1) with schematic and not VHDL ! I want 2 8Bit bus wid 2 BUFE8 multiplex on Outputport? I have every a error message. Why musst conect 2 bus on 1 OBUF8.

best regards

Thomas

Reply to
T.M.X
Loading thread data ...

T.M.X schrieb:

Hallo Thomas, Ich vermute einfach mal das du Deutsch sprichst. Wenn's so ist, dann wiederhol deine Frage einfach noch mal auf Deutsch und liefer die genaue Fehlermeldung mit. Da der Schaltplan in ISE auch nur eine Textdatei ist kannst du ihn auch per copy und paste beifügen dann kann man das Problem vor Ort betrachten.

Grüße Eilert

Reply to
backhus

Hallo Eilert

Da bin ich nun ganz =FCberrascht, Ich qu=E4le mich mit einem unverst=E4ndlichen Englisch rum und dann spricht einer Deutsch.

Also will wieder mit FPGAs arbeiten und ein Projekt umsetzten. Leider habe ich im Moment nicht die Zeit VHDL zu erlernen.

Mir gelingt es nicht 2 8Bit-Busse auf einen Ausgang parallel zu schalten dann ich dann =FCber einen anderen Eingang zwischen den beiden Bussen mit Tri-State umschalten kann. Also eigentlich multiplexen.

Ich hoffe du verstehst mich was ich meine?

Vor ein paar Jahren ist mir das aber noch gegl=FCckt.

Was mache ich falsch?

Wir der Schematic-Code eigentlich nachher in VHDL =FCbersetzt? Wenn dem so w=E4re w=FCre es mir das erlernen dieser Sprache erleichtern.

Gruss

Thomas

Hier der Inhalt der Textdatei von Schematic:

VERSION 6 BEGIN SCHEMATIC BEGIN ATTR DeviceFamilyName "spartan2" DELETE all:0 EDITNAME all:0 EDITTRAIT all:0 END ATTR BEGIN NETLIST SIGNAL XLXN_2(7:0) SIGNAL XLXN_3(7:0) SIGNAL XLXN_4 SIGNAL XLXN_41(7:0) SIGNAL XLXN_42(7:0) SIGNAL XLXN_45 SIGNAL XLXN_47 SIGNAL XLXN_65(7:0) SIGNAL XLXN_66(7:0) SIGNAL XLXN_68 SIGNAL XLXN_69 SIGNAL XLXN_70 SIGNAL XLXN_73 SIGNAL XLXN_74 SIGNAL XLXN_75 SIGNAL XLXN_76 SIGNAL XLXN_77 SIGNAL XLXN_81 SIGNAL XLXN_85(7:0) SIGNAL XLXN_86(7:0) SIGNAL XLXN_87 PORT Input XLXN_2(7:0) PORT Input XLXN_3(7:0) PORT Input XLXN_4 PORT Input XLXN_68 PORT Input XLXN_69 PORT Input XLXN_70 PORT Output XLXN_77 PORT Output XLXN_86(7:0) BEGIN BLOCKDEF ibuf8 TIMESTAMP 2001 2 2 12 53 1 RECTANGLE N 128 -44 224 -20 LINE N 224 -32 128 -32 RECTANGLE N 0 -44 64 -20 LINE N 64 0 64 -64 LINE N 128 -32 64 0 LINE N 64 -64 128 -32 LINE N 0 -32 64 -32 END BLOCKDEF BEGIN BLOCKDEF ibuf TIMESTAMP 2001 2 2 12 53 1 LINE N 64 0 64 -64 LINE N 128 -32 64 0 LINE N 64 -64 128 -32 LINE N 224 -32 128 -32 LINE N 0 -32 64 -32 END BLOCKDEF BEGIN BLOCKDEF inv TIMESTAMP 2001 2 2 12 53 52 LINE N 0 -32 64 -32 LINE N 224 -32 160 -32 LINE N 64 -64 128 -32 LINE N 128 -32 64 0 LINE N 64 0 64 -64 CIRCLE N 128 -48 160 -16 END BLOCKDEF BEGIN BLOCKDEF obuf8 TIMESTAMP 2001 2 2 12 53 1 LINE N 0 -32 64 -32 LINE N 64 0 64 -64 LINE N 128 -32 64 0 LINE N 64 -64 128 -32 LINE N 224 -32 128 -32 RECTANGLE N 0 -44 64 -20 RECTANGLE N 128 -44 224 -20 END BLOCKDEF BEGIN BLOCKDEF obufe TIMESTAMP 2001 2 2 12 53 1 LINE N 0 -96 64 -96 LINE N 0 -32 64 -32 LINE N 224 -32 128 -32 LINE N 96 -96 64 -96 LINE N 96 -48 96 -96 LINE N 64 -64 64 0 LINE N 128 -32 64 -64 LINE N 64 0 128 -32 END BLOCKDEF BEGIN BLOCKDEF obufe8 TIMESTAMP 2001 2 2 12 53 1 LINE N 0 -96 64 -96 LINE N 0 -32 64 -32 LINE N 224 -32 128 -32 RECTANGLE N 0 -44 64 -20 RECTANGLE N 128 -44 224 -20 LINE N 96 -96 64 -96 LINE N 96 -48 96 -96 LINE N 64 -64 64 0 LINE N 128 -32 64 -64 LINE N 64 0 128 -32 END BLOCKDEF BEGIN BLOCK XLXI_1 ibuf8 PIN I(7:0) XLXN_2(7:0) PIN O(7:0) XLXN_42(7:0) END BLOCK BEGIN BLOCK XLXI_2 ibuf8 PIN I(7:0) XLXN_3(7:0) PIN O(7:0) XLXN_41(7:0) END BLOCK BEGIN BLOCK XLXI_4 ibuf PIN I XLXN_4 PIN O XLXN_47 END BLOCK BEGIN BLOCK XLXI_7 inv PIN I XLXN_47 PIN O XLXN_45 END BLOCK BEGIN BLOCK XLXI_15 ibuf PIN I XLXN_68 PIN O XLXN_75 END BLOCK BEGIN BLOCK XLXI_16 ibuf PIN I XLXN_69 PIN O XLXN_73 END BLOCK BEGIN BLOCK XLXI_17 ibuf PIN I XLXN_70 PIN O XLXN_74 END BLOCK BEGIN BLOCK XLXI_19 inv PIN I XLXN_75 PIN O XLXN_76 END BLOCK BEGIN BLOCK XLXI_22 obufe PIN E XLXN_76 PIN I XLXN_73 PIN O XLXN_77 END BLOCK BEGIN BLOCK XLXI_23 obufe PIN E XLXN_75 PIN I XLXN_74 PIN O XLXN_77 END BLOCK BEGIN BLOCK XLXI_30 obuf8 PIN I(7:0) XLXN_85(7:0) PIN O(7:0) XLXN_86(7:0) END BLOCK BEGIN BLOCK XLXI_32 obufe8 PIN E XLXN_47 PIN I(7:0) XLXN_42(7:0) PIN O(7:0) XLXN_85(7:0) END BLOCK BEGIN BLOCK XLXI_33 obufe8 PIN E XLXN_45 PIN I(7:0) XLXN_41(7:0) PIN O(7:0) XLXN_85(7:0) END BLOCK END NETLIST BEGIN SHEET 1 7609 5382 ATTR LengthUnitName "CM" ATTR GridsPerUnit "4" INSTANCE XLXI_1 1216 1152 R0 BEGIN BRANCH XLXN_2(7:0) WIRE 1104 1120 1200 1120 WIRE 1200 1120 1216 1120 END BRANCH BEGIN BRANCH XLXN_3(7:0) WIRE 1104 1760 1216 1760 END BRANCH INSTANCE XLXI_4 1216 832 R0 BEGIN BRANCH XLXN_4 WIRE 1056 800 1216 800 END BRANCH IOMARKER 1056 800 XLXN_4 R180 28 BEGIN BRANCH XLXN_41(7:0) WIRE 1440 1760 1600 1760 END BRANCH BEGIN BRANCH XLXN_42(7:0) WIRE 1440 1120 1632 1120 END BRANCH INSTANCE XLXI_2 1216 1792 R0 IOMARKER 1104 1760 XLXN_3(7:0) R180 28 INSTANCE XLXI_7 1232 1552 R0 BEGIN BRANCH XLXN_45 WIRE 1456 1520 1520 1520 WIRE 1520 1520 1520 1696 WIRE 1520 1696 1600 1696 END BRANCH BEGIN BRANCH XLXN_47 WIRE 1168 1328 1520 1328 WIRE 1168 1328 1168 1520 WIRE 1168 1520 1232 1520 WIRE 1440 800 1520 800 WIRE 1520 800 1520 1056 WIRE 1520 1056 1520 1328 WIRE 1520 1056 1632 1056 END BRANCH BEGIN BRANCH XLXN_68 WIRE 928 2080 1056 2080 END BRANCH IOMARKER 928 2080 XLXN_68 R180 28 BEGIN BRANCH XLXN_69 WIRE 928 2400 1056 2400 END BRANCH IOMARKER 928 2400 XLXN_69 R180 28 BEGIN BRANCH XLXN_70 WIRE 928 2560 1056 2560 END BRANCH INSTANCE XLXI_17 1056 2592 R0 INSTANCE XLXI_16 1056 2432 R0 INSTANCE XLXI_15 1056 2112 R0 IOMARKER 928 2560 XLXN_70 R180 28 INSTANCE XLXI_19 1600 2272 R0 BEGIN BRANCH XLXN_73 WIRE 1280 2400 1856 2400 END BRANCH BEGIN BRANCH XLXN_74 WIRE 1280 2560 1856 2560 END BRANCH BEGIN BRANCH XLXN_75 WIRE 1280 2080 1408 2080 WIRE 1408 2080 1408 2240 WIRE 1408 2240 1408 2496 WIRE 1408 2496 1856 2496 WIRE 1408 2240 1600 2240 END BRANCH BEGIN BRANCH XLXN_76 WIRE 1824 2240 1856 2240 WIRE 1856 2240 1856 2336 END BRANCH BEGIN BRANCH XLXN_77 WIRE 2080 2400 2384 2400 WIRE 2384 2400 2384 2464 WIRE 2384 2464 2704 2464 WIRE 2384 2464 2384 2560 WIRE 2080 2560 2384 2560 END BRANCH IOMARKER 2704 2464 XLXN_77 R0 28 INSTANCE XLXI_22 1856 2432 R0 INSTANCE XLXI_23 1856 2592 R0 IOMARKER 2880 1440 XLXN_86(7:0) R0 28 BEGIN BRANCH XLXN_85(7:0) WIRE 1824 1760 2048 1760 WIRE 1856 1120 2048 1120 WIRE 2048 1120 2048 1440 WIRE 2048 1440 2048 1760 WIRE 2048 1440 2352 1440 END BRANCH BEGIN BRANCH XLXN_86(7:0) WIRE 2576 1440 2880 1440 END BRANCH INSTANCE XLXI_32 1632 1152 R0 INSTANCE XLXI_33 1600 1792 R0 IOMARKER 1104 1120 XLXN_2(7:0) R180 28 INSTANCE XLXI_30 2352 1472 R0 END SHEET END SCHEMATIC

Reply to
T.M.X

T.M.X schrieb:

Hallo Thomas, Na das war ja einfach :-) Du hast OBUFE und OBUFE8 verwendet. Das geht nicht, weil nach einem OBUF sofort das PAD und dann der PIN kommen. (Siehe Struktur der IOBs im Datenblatt.

Du kannst dir (wenn du nichts spezielles vorhast) die IBUS und OBUFS in den Schaltplänen sparen. Darum kümmert sich die ISE Software schon selber. Stattdessen musst du BUFE8 verwenden, wie du es auch in deiner ersten mail geschrieben hast (oder fehlte da das O ?).

Die Warnungen von ISE entstehen weil du Tristate Signale verwendest, was ISE gerne vermeiden möchte, da die Ressourcen dafür recht begrenzt sind. Besser ist es richtige Multiplexer aus der MUX library zu verwenden.

Ich habe den optimierten Schaltplan angehängt, schau's dir mal an.

Vor der Synthese wird der Schaltplan in eine VHDL Netzliste umgewandelt, und mit der Dateiendung .vhf abgespeichert. Zum Lernen ist das allerdings nicht besonders hilfreich, da es eben nur Strukturcode ist. ISE erzeugt dir ein komplettes Template mit Entity und allem drum und dran, wenn du ein VHDL Modul als New Source erzeugen willst. Und bei den Language Templates (Die Glühbirnen Ikone :-) ) finden sich auch Beispiele für die Synthese an denen man viel lernen kann.

Aber das wichtigste ist wohl, fleißig Englisch zu üben weil du sonst keine Chance hast aus den Synthesemeldungen schlau zu werden. Das ist ja manchmal sogar für native speaker schwierig :-).

have a nice synthesis Eilert

------------------------------------------------------ VERSION 6 BEGIN SCHEMATIC BEGIN ATTR DeviceFamilyName "spartan2" DELETE all:0 EDITNAME all:0 EDITTRAIT all:0 END ATTR BEGIN NETLIST SIGNAL XLXN_2(7:0) SIGNAL XLXN_3(7:0) SIGNAL XLXN_4 SIGNAL XLXN_45 SIGNAL XLXN_68 SIGNAL XLXN_69 SIGNAL XLXN_70 SIGNAL XLXN_76 SIGNAL XLXN_77 SIGNAL XLXN_86(7:0) SIGNAL XLXN_92 SIGNAL XLXN_93 SIGNAL XLXN_94 SIGNAL XLXN_97 PORT Input XLXN_2(7:0) PORT Input XLXN_3(7:0) PORT Input XLXN_4 PORT Input XLXN_68 PORT Input XLXN_69 PORT Input XLXN_70 PORT Output XLXN_77 PORT Output XLXN_86(7:0) PORT Output XLXN_97 BEGIN BLOCKDEF inv TIMESTAMP 2001 2 2 12 53 52 LINE N 0 -32 64 -32 LINE N 224 -32 160 -32 LINE N 64 -64 128 -32 LINE N 128 -32 64 0 LINE N 64 0 64 -64 CIRCLE N 128 -48 160 -16 END BLOCKDEF BEGIN BLOCKDEF bufe8 TIMESTAMP 2001 2 2 12 51 12 RECTANGLE N 128 -44 224 -20 LINE N 0 -32 64 -32 LINE N 0 -96 64 -96 LINE N 64 -64 64 0 LINE N 128 -32 64 -64 LINE N 64 0 128 -32 RECTANGLE N 0 -44 64 -20 LINE N 224 -32 128 -32 LINE N 96 -96 64 -96 LINE N 96 -48 96 -96 END BLOCKDEF BEGIN BLOCKDEF bufe TIMESTAMP 2001 2 2 12 51 12 LINE N 64 -64 64 0 LINE N 128 -32 64 -64 LINE N 64 0 128 -32 LINE N 96 -96 64 -96 LINE N 96 -48 96 -96 LINE N 0 -96 64 -96 LINE N 224 -32 128 -32 LINE N 0 -32 64 -32 END BLOCKDEF BEGIN BLOCKDEF m2_1 TIMESTAMP 2001 2 2 12 54 40 LINE N 96 -64 96 -192 LINE N 256 -96 96 -64 LINE N 256 -160 256 -96 LINE N 96 -192 256 -160 LINE N 176 -32 96 -32 LINE N 176 -80 176 -32 LINE N 0 -32 96 -32 LINE N 320 -128 256 -128 LINE N 0 -96 96 -96 LINE N 0 -160 96 -160 END BLOCKDEF BEGIN BLOCK XLXI_7 inv PIN I XLXN_4 PIN O XLXN_45 END BLOCK BEGIN BLOCK XLXI_19 inv PIN I XLXN_68 PIN O XLXN_76 END BLOCK BEGIN BLOCK XLXI_36 bufe8 PIN E XLXN_4 PIN I(7:0) XLXN_2(7:0) PIN O(7:0) XLXN_86(7:0) END BLOCK BEGIN BLOCK XLXI_37 bufe8 PIN E XLXN_45 PIN I(7:0) XLXN_3(7:0) PIN O(7:0) XLXN_86(7:0) END BLOCK BEGIN BLOCK XLXI_38 bufe PIN E XLXN_76 PIN I XLXN_69 PIN O XLXN_77 END BLOCK BEGIN BLOCK XLXI_39 bufe PIN E XLXN_68 PIN I XLXN_70 PIN O XLXN_77 END BLOCK BEGIN BLOCK XLXI_41 m2_1 PIN D0 XLXN_69 PIN D1 XLXN_70 PIN S0 XLXN_68 PIN O XLXN_97 END BLOCK END NETLIST BEGIN SHEET 1 7609 5382 ATTR LengthUnitName "CM" ATTR GridsPerUnit "4" IOMARKER 1056 800 XLXN_4 R180 28 IOMARKER 1104 1760 XLXN_3(7:0) R180 28 INSTANCE XLXI_7 1232 1552 R0 BEGIN BRANCH XLXN_45 WIRE 1456 1520 1520 1520 WIRE 1520 1520 1520 1696 WIRE 1520 1696 1600 1696 END BRANCH BEGIN BRANCH XLXN_68 WIRE 928 2080 1408 2080 WIRE 1408 2080 1408 2240 WIRE 1408 2240 1408 2496 WIRE 1408 2496 1856 2496 WIRE 1408 2496 1408 3136 WIRE 1408 3136 1952 3136 WIRE 1408 2240 1600 2240 END BRANCH IOMARKER 928 2080 XLXN_68 R180 28 IOMARKER 928 2400 XLXN_69 R180 28 BEGIN BRANCH XLXN_70 WIRE 928 2560 1456 2560 WIRE 1456 2560 1856 2560 WIRE 1456 2560 1456 3072 WIRE 1456 3072 1952 3072 END BRANCH IOMARKER 928 2560 XLXN_70 R180 28 INSTANCE XLXI_19 1600 2272 R0 BEGIN BRANCH XLXN_76 WIRE 1824 2240 1856 2240 WIRE 1856 2240 1856 2336 END BRANCH BEGIN BRANCH XLXN_77 WIRE 2080 2400 2384 2400 WIRE 2384 2400 2384 2464 WIRE 2384 2464 2704 2464 WIRE 2384 2464 2384 2560 WIRE 2080 2560 2384 2560 END BRANCH IOMARKER 2704 2464 XLXN_77 R0 28 IOMARKER 2880 1440 XLXN_86(7:0) R0 28 BEGIN BRANCH XLXN_86(7:0) WIRE 1824 1760 2048 1760 WIRE 1856 1120 2048 1120 WIRE 2048 1120 2048 1440 WIRE 2048 1440 2048 1760 WIRE 2048 1440 2880 1440 END BRANCH IOMARKER 1104 1120 XLXN_2(7:0) R180 28 INSTANCE XLXI_36 1632 1152 R0 INSTANCE XLXI_37 1600 1792 R0 INSTANCE XLXI_38 1856 2432 R0 INSTANCE XLXI_39 1856 2592 R0 BEGIN BRANCH XLXN_2(7:0) WIRE 1104 1120 1632 1120 END BRANCH BEGIN BRANCH XLXN_4 WIRE 1056 800 1520 800 WIRE 1520 800 1520 1056 WIRE 1520 1056 1632 1056 WIRE 1520 1056 1520 1328 WIRE 1168 1328 1168 1520 WIRE 1168 1520 1232 1520 WIRE 1168 1328 1520 1328 END BRANCH BEGIN BRANCH XLXN_3(7:0) WIRE 1104 1760 1600 1760 END BRANCH INSTANCE XLXI_41 1952 3168 R0 BEGIN BRANCH XLXN_69 WIRE 928 2400 1504 2400 WIRE 1504 2400 1856 2400 WIRE 1504 2400 1504 3008 WIRE 1504 3008 1952 3008 END BRANCH BEGIN BRANCH XLXN_97 WIRE 2272 3040 2656 3040 END BRANCH IOMARKER 2656 3040 XLXN_97 R0 28 END SHEET END SCHEMATIC

Reply to
backhus

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.