How can I have multiple drivers of one inout port?

Hi,

I am trying to connect the bidirectional ports of two components to one bidirectional set of pins on my FPGA. Is it possible to do this in VHDL? The following example does not appear to read the bidir port:

entity whatever port ( signal choice : in std_logic; signal my_bidir : inout std_logic ); end;

architecture rtl of whatever is

signal x0_bidir, x1_bidir : std_logic;

begin

xInstOne : x port map ( my_bidir_port => x0_bidir );

xInstTwo: x port map ( my_bidir_port => x1_bidir );

my_bidir

Reply to
cruzin
Loading thread data ...

Take tri-state drivers

process(enable,some_signal) begin if (enable='1') then target_signal the enable-signals can be generated thorugh combinational logic out of the address.

Ralf

Reply to
Ralf Hildebrandt

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.