Altera synthesis of registered signals ???

Dear Sir or Madame,

I have the following problem:

In a clocked process I made the following registered signal assignments:

------------------------------------------------------------

------------------------------------------------------------ entity xy is port( addr_to_send : in std_logic_vector(6 downto 0); ep_to_send : in std_logic_vector(3 downto 0); addr_rec : in std_logic_vector(6 downto 0); ep_rec : in std_logic_vector(3 downto 0); direction_to_send : in std_logic; cam_ram_entry_valid : in std_logic; ... ); end xy;

architecture ...

process(write_clock) begin if rising_edge(write_clock) then

l_data_addr_to_send

Reply to
Vazquez
Loading thread data ...

You really need a reset assignment on this for starters....

Reply to
Stratus Engineer

Hi Andrés,

The Quartus software is merging duplicate registers in the code without altering the functionality of your design. Specifically, l_data_addr_to_send and l_data_to_send are driven by many of the same inputs; hence, it shares the registers implementing these signals. If you want to keep all register bits, even duplicates, then

1.Use the Preserve Attribute on the registered signals, OR
  1. Turn "Remove Duplicate Registers" off in the Assignments->Settings->Default Logic Option Settings.

- Subroto Datta Altera Corp

Reply to
Subroto Datta

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.