Creating a vector out of other vectors

Hi,

I have the following problem:

library ieee; use ieee.std_logic_1164.all;

entity test is port(ep_to_send : in std_logic_vector(3 downto 0); addr_to_send : in std_logic_vector(6 downto 0); data_valid_to_send : in std_logic; direction_to_send : in std_logic ); end test;

architecture rtl of test is signal test_vector: std_logic_vector(9 downto 0);

begin test_vector

Reply to
Vazquez
Loading thread data ...

Yep, perfectly legal code. Just be sure that the size on the left and right are equal. And you don't need the parenthesisses. I just prefer to use those things as less as possible. Your code will do the following: test_vector(9)

Reply to
Jan De Ceuster

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.