Re: W??czenie zasilania - CPLD Xilinx

Witam,

attribute altera_attribute of res_cnt : signal is "POWER_UP_LEVEL=LOW";

Właśnie o coś takiego mi chodziło, Muszę tylko doczytać jak to zrobić w Xilinxie

Dzięki wszystkim za info Pozdrawiam Andrzej

Reply to
fred
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.