Power on reset w CPLD

Zaczynam się ostatnio bawić układami CPLD. Przebijam się właśnie przez podręcznik VHDL-a i zaczłem projektować płytkę do projektu, który będzie wykorzystywał taki układ programowalny. Postawiłem na mający już parę lat układ Xilinx CoolRunner II XC2C256.

W projekcie będę potrzebował możliwości automatycznego ustawienia początkowego stanu rejestrów po włączeniu zasilania. Czyli mam zewnętrzny pin RST, do którego podpinam wejścia RST wszystkich komponentów zaimplementowanych w VHDL-u. Oczywiście wolałbym uniknąć ręcznego wciskania przycisku po każdym podłączeniu zasilania. Stąd pytanie:

W tych układach jest zaimplementowany jakiś wewnętrzny mechanizm czy powinienem użyć jakiegoś zewnętrznego scalaka, choćby DS1818?

Reply to
Atlantis
Loading thread data ...

Atlantis wrote on 27.10.2020 18:24:

formatting link
Macrocell registers can be individually configured to power up to the zero or one state.

Reply to
Zbych

Dzięki. Jeszcze jedno pytanie. Widzę, że ten układ ma kilka pinów oznaczonych jako "global clock" oraz jeden "global set/reset". Jaka jest im funkcja? Czym się różni global reset od użycia dowolnego innego pinu do ustawiania domyślnego stanu rejestru? Albo czym się różni "global clock" od użycia dowolnego innego wejścia w bloku process?

Reply to
Atlantis

Linie global są rozprowadzone po całym CPLD i czas propagacji do każdej komórki jest taki sam.

Reply to
Zbych

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.