Xilinx: Initializing BRAM content in the ngc

Hello,

I'd like to know if it's possible (and if yes how ?) to initialize BRAM content not directly in the sources, nor in the final .bit file but in the ngc (netlist).

The situation is this : I have an IP core that contains several BRAM, some for EDK some for other stuff. Some of them need to be initialized to some values. When I do it "for me", I can do it in the final BRAM file, but when delivering the core, I'd lilke to provide a single ngc file that has all that is required already in it.

So, anyone know how to do that ;) ?

Thanks & regards,

Sylvain

Reply to
Sylvain Munaut
Loading thread data ...

I haven't tried any of this myself, I have only used the data2mem tool to update the final bitstream or generate suitable defparams for use in the source code.

Anyway, you might be able to use the xdl tool to convert the ngc file to an xdl file (which will contain a text description of the ngc file), change the values of the relevant block rams and then use the xdl tool to convert it back.

A safer option could be to specify that your customers would have to specify a -bd option to bitgen. (Although I haven't tried this myself either.)

/Andreas

Reply to
Andreas Ehliar

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.