Xilinx EDK GPIO IP with FIFO function (input only)

Hi,

i need to modify the GPIO IP (opb_gpio) (Xilinx EDK7.1) to work like a FIFO (only for input). The IPIF IP can ba configured with fifos!

Can anybody help?

Best regards,

Michael

Reply to
Michael Bodenbach
Loading thread data ...

I wouldn't modify the opb_gpio, I would use the IP Import Wizard in EDK to create a new peripheral that includes the IPIF FIFOs. I've done this several times myself.

Paul

Michael Bodenbach wrote:

Reply to
Paul Hartke

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.