EDK 6.2 Synthese Error

Hello

When I run the synthese with the XST, everything works fine until the optimization of one of my units.

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

FATAL_ERROR:MDT:Portability/export/Port_Main.h:127:1.53 - This application has discovered an exceptional condition from which it cannot recover. Process will terminate. To resolve this error, please consult the Answers Database and other online resources at

formatting link
If you need further assistance, please open a Webcase by clicking on the "WebCase" link at
formatting link

Did anyone have the same error message? Is it possible that XST does not optimise my cub.vhd entity? Any other suggestions?

Thanks a lot

Philipp

Reply to
Philipp Grabher
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.