Why cant XST sythesis this piece of code

Hi

When running XST then XST is analysing an entity for ages that contains the following piece of code.

if (signal1 = '1') for I in 0 to 15 loop if (signal2(I) = '0') then Table0(conv_integer(Table(I))

Reply to
Clemens Pichler
Loading thread data ...

The lack of an obvious clock signal may have comething to do with it. Pos the entire process, including sensitivity list, and you may get a mor definitive answer.

Reply to
RCIngham

I don't think you've given enough information to describe your problem. What errors are you getting from XST? What makes you think that this piece of code is the problem? How are these signals defined? Does the code simulate as expected? Looking at this snippet alone, I don't see anything that would not be synthesizable. Your really should use numeric_std instead of std_logic_arith, but you've been told that before, and you apparently insist on using the non-standard, inferior arithmetic library.

More info please, if you want good help.

Dave

Reply to
Dave

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.