UCF constraints for DCM outputs?

Hi, all:

I am using a DCM to multiply my clock by 2.5...In the UCF file, do I define a Period constraint for the 2.5X clock or the original input clock?

Thanks for your comments.

Best Regards, Kelvin

Reply to
kelvin8157
Loading thread data ...

define

Kelvin -

You specify the input clock period in the DCM attributes. You can/should also specify the output clock period in a timespec to constrain your logic. Like this:

# for the DCM INST "dcm_inst" CLKIN_PERIOD=25;

# for your logic NET "clk_out" TNM_NET = "clk_out"; TIMESPEC "TS_CLKOUT" = PERIOD "clk_out" 10 ns HIGH 50 %;

Robert

Reply to
Robert Sefton

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.