I have the following code:
signal busy_condition : std_logic; signal high_registered : std_logic_vector(1 downto 0); signal high_current : std_logic_vector(1 downto 0);
busy_condition CONV_INTEGER(high_registered)) ELSE '0';
During simulation high_registered become "10" and high_current = "00". However busy_condition is high impedence. Is there someone that can explain me what is my error? Thanks a lo Gio