Hello,
I have a custom PCB with FT2232H and FPGA on board. Writing from PC to FPGA via FT2232H in FT245 synchronuous FIFO mode workd perfect. However, I've g ot some problems with reading from FPGA to PC in this mode. Below is a part of my VHDL code responsible for it:
USB_CLK: in std_logic; RST : in std_logic; TXE_n : in std_logic; WR_n : out std_logic; USB_DATA: inout std_logic_vector(7 downto 0); RAM_DATA: in std_logic_vector(7 downto 0); RD_ADDR: out std_logic_vector(12 downto 0);
signal RD_ADDRs: std_logic_vector(12 downto 0);
process (USB_CLK,RST,TXE_n) begin if RST='1' then RD_ADDRs'0'); USB_DATA'Z'); WR_n