simulation mismatch (xilinx)

We are designing a comunication system on a Spartan3 with a lot of data processing and buffering. We have several simulation mismatches: behavioral simulation gives results identical to Post-translate (with XST8.2.02 and option Keep Hierarchy: yes) But simulation of Post-translate with XST and option Keep Hierarchy off, gives different results; it's only a few different vectors over a thousand, but still unexplicable to me. We tried to compile with Synplify Pro, default settings; we did another post-translate simulation and the results are still different from all previous cases (again only a few vectors over a thousand).

Any experience with that ? We paid attention to signed logic issues (see thread "behavioral vs post-P&R simulation mismatch" on Aug 30, 2006). We paid attention to crossing the clock domains. The clock structure is (in Verilog)

///////////////////////////////////////// ... input clk80, // 80 MHz clock. ... always @(posedge clk80) CE40

Reply to
tullio
Loading thread data ...

I answer to my own post, anyway the mismatch behavioral vs SynplifyPro was simply due to the initilzation reset (GSR) that is applied only on the post-Translate model (not on the behavioral model). The mismatch behavioral vs XST remains and is probably one more bug of XST that i have no time to identify.

tullio

tullio ha scritto:

Reply to
tullio

Have you tried instantiating the ROC element in your behavioural? This allows you to explicitly describe what GSR should be doing. The post-translate models get ROC added by the translator.

Reply to
David R Brooks

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.