Re: How do you initialize signals in VHDL?

If your synthesis tool creates FFs that power up as zero, by default, then you could try this:

process(clk) begin if rising_edge(clk) then if reset_n = '0' then reset_n

Reply to
Vinh Pham
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.